ISIS Proteus 7

Bienvenue sur Gecif.net, le site de référence pour ISIS Proteus 7

Téléchargez ici le logiciel de simulation électronique ISIS Proteus 7 ainsi qu'un ensemble de modules complémentaires, tutoriels, travaux pratiques, documents ressources et des exemples de montages simulables, commentés et prêts à l'emploi.

Téléchargement du logiciel ISIS Proteus et des modules complémentaires


Télécharger ISIS Proteus 7.6 Demo

Télécharger ISIS Proteus 7.10 Demo

Télécharger EZ-ABEL pour compiler un programme ABEL en JEDEC
afin d'utiliser les circuits logiques programmables PLD dans Proteus

Télécharger de nombreux packages 3D pour ARES dont des potentiomètres et des afficheurs LCD
(fichier ZIP de 11 Mo à décompacter dans le répertoire LIBRARY de Proteus)

Générateur automatique de code ABEL pour réaliser un transcodeur Binaire/BCD en PLD

NOUVEAU ! Pour tout savoir sur l'utilisation des afficheurs LCD dans ISIS Proteus

Téléchargement des travaux pratiques ISIS Proteus


Initiation à la simulation analogique

Découverte des composants électroniques

Moteur, relais et transistor

Les portes logiques en mode intéractif

Affichage de la table de vérité dans un graphe digital

Découverte des bascules

Découverte des transistors MOS

Découverte du condensateur

Utilisation des compteurs et des afficheurs

Applications des multiplexeurs et des démultiplexeurs


Téléchargement des fiches pratiques ISIS Proteus

Fiche mémo Proteus à compléter

Fiche mémo complète d'ISIS Proteus 7

Utilisation de l'oscilloscope

Câblage dans Proteus d'un PIC programmé dans Flowcode

Utilisation du circuit L298 pour piloter un moteur à courant continu

Utilisation de l'afficheur LCD LM016L

Configuration des afficheurs LCD en 4 bits (liens non valides sur la page)

NOUVEAU ! Affichage de caractères accentués ou personnalisés sur un afficheur LCD

Utilisation et configuration des liens d'alimentation

Utilisation des bornes nommés

Gestion d'un projet complexe et création de sous-circuits

Comment programmer un PLD en ABEL sous ISIS Proteus 7 ?

Visualiation en 3D dans ARES de la carte électronique

Consulter le document ressource disponible sur NESSI

Téléchargement des fichiers simulables au format ISIS Proteus 7.8


Tous les exemples ci-dessous peuvent servir de base pour répondre
à une problématique précise dans le cadre d'un projet comme les
TPE en première ou le projet interdisciplinaire en terminale :

 

LED, afficheurs, boutons et claviers

Pilotage d'un bargraph par le comparateur en échelle intégré LM3914

Comparateur en échelle intégré LM3914 suivi d'un encodeur de priorité 74HC147

Le décodeur 7 segments 4511

Les afficheurs 16 segments

Les afficheurs LCD alphanumériques à entrée série

NOUVEAU : Décodage simple d'un clavier matriciel 12 touches avec un encodeur 74C922

NOUVEAU : Clavier matriciel 16 touches avec encodeur 74C922 et décodeur 7 segments 74LS49

NOUVEAU : Les encodeurs de priorité génériques ENCODER_8_3 et ENCODER_10_4

NOUVEAU : Mémorisation d'un code à 4 chiffres dans 4 décodeurs 7 segments 4511

NOUVEAU : Mémorisation des touches tapées sur un clavier matriciel à 12 touches

NOUVEAU : Utilisation du clavier du PC comme entrée standard pour saisir des caractères

 

La conversion de données (C.A.N. et C.N.A.)

Le convertisseur Numérique/Analogique générique DAC_8

Le convertisseur Analogique/Numérique générique ADC_8

Câblage minimal du convertisseur A/N ADC0804

Affichage de la sortie du convertisseur A/N ADC0804 sur 1 afficheur 7 segments

Affichage de la sortie du convertisseur A/N ADC0804 sur 3 digits

 

Astable, monostable, condensateur et oscilloscope

Montage astable à A.L.I. (trigger + circuit RC)

Montage astable à porte trigger lent pour observer la charge du condensateur

Montage monostable à bascule D

Montage MLI avec astable à rapport cyclique variable

 

Convertisseurs Fréquence/Tension et Tension/Fréquence

Montage convertisseur fréquence / tension à M.L.I. (monostable + filtre)

Montage convertisseur fréquence / tension avec un circuit intégré LM331

Montage convertisseur fréquence / tension numérique (compteur + bascules + C.N.A.)

Convertisseur fréquence / tension idéal (Modelling Primitives)

Convertisseur tension / fréquence idéal (VCO : Voltage Controlled Oscillator)

 

Interface de puissance, moteur, pont en H et hacheur

Transistor MOS de puissance pour alimenter un moteur de 100 ampères à partir d'une porte logique

Circuit ULN2003 pour alimenter des moteurs à partir d'une porte logique

Laplace Primitives : étage de puissance simplifié à l'extrême

Alimentation de deux moteurs avec le circuit L293

Pilotage d'un moteur pas à pas avec le driver L297 et le double pont en H L298

Fonction alimentation avec régulateur de tension variable LM317

Hacheur série avec oscillateur

Hacheur série du chariot de golf commandé par MLI

 

Utilisation des différents graphes

Visionnage des valeurs numériques d'un bus dans un graphe DIGITAL

Visionnage de courbes numériques et analogiques dans un même graphe MIXED

Filtre sélectif du second ordre (utilisation d'un graphe FREQUENCY)

Visionnage du courant du hacheur série dans un graphe ANALOGUE avec une sonde de courant

 

Composants programmables virtuels et script EasyHDL

Les portes logiques programmables BOOL_n et FUSE_n

Utilisation d'un boîtier mémoire pour réaliser un transcodeur ou un séquenceur

Ecriture d'une équation logique dans un script EasyHDL

Transcodeur Binaire 8 bits / BCD 3 digits en EasyHDL

Transcodeur Binaire 16 bits / BCD 4 digits avec intervalle réglable en EasyHDL

Pilotage d'un afficheur LCD LM016L avec un script EasyHDL

Affichage rapide de plusieurs messages sur un afficheur LM016L avec un script EasyHDL

NOUVEAU : Affichage de 16 messages sur les 2 lignes d'un afficheur LM018L avec un script EasyHDL

NOUVEAU : Affichage de 16 messages sur les 4 lignes d'un afficheur LM044L avec un script EasyHDL

NOUVEAU : Script EasyHDL optimisé pour afficher 16 messages sur les 4 lignes d'un afficheur LM044L

NOUVEAU : Affichage d'un message sur un afficheur LM016L en mode 4 bits avec un script EasyHDL

NOUVEAU : Clavier matriciel 4x4 et afficheur MDLS40466 4x40 interfacés avec un script EasyHDL

NOUVEAU : Affichage des lettres tapées dans un terminal sur un afficheur 16 segments (structure SWITCH en EasyHDL)

3 afficheurs 7 segments multiplexés en EasyHDL

Génération de chronogrammes sur un bus en EasyHDL

 

Programmation d'un circuit logique programmable (PLD)
en langage ABEL (Advanced Boolean Expression Langage)

Décodeur 7 segments programmé en ABEL en écrivant les équations logiques

Programmation d'un PLD en ABEL par table de vérité en utilisant aucun opérateur logique

Affichage de la direction du vent sur 2 afficheurs 7 segments avec un PLD et ABEL

Programmation d'un séquenceur simple en ABEL dans un PLD 22V10

Programmation d'un compteur 4 bits en ABEL par équation arithmétique

Programmation d'un compteur BCD en ABEL par table de vérité

Programmation d'un compteur/décompteur BCD en ABEL par diagramme d'état

Décodage d'un code à 4 chiffres en utilisant un PLD en séquenceur

Détection d'une clé électronique numérique à base de PLD

Générateur de valeurs aléatoires programmé en ABEL

Un dé électronique en ABEL pour jouer au jeu de l'oie !

Transcodeur Binaire naturel 8 bits/BCD avec 3 PLD (centaines, dizaines et unités)

 

Découverte de la liaison série I2C

Liaison I2C manuelle avec 2 LOGICSTATE

Liaison I2C maître/esclave avec 2 Debugger I2C

Liaison I2C avec un circuit d'E/S 4 bits PCA9536

Liaison I2C avec un circuit d'E/S 8 bits MCP23008

Liaison I2C avec un Convertisseur Numérique Analogique 8 bits LTC2631-HZ8

Pilotage manuel du driver SAA1064 pour 4 afficheurs 7 segments par liaison I2C

Pilotage d'un afficheur LCD LM032 par I2C avec l'expander PCF8574

NOUVEAU : Lecture par I2C des données présentes sur le port de l'expander PCA9536

NOUVEAU : Lecture par I2C de la valeur numérique de sortie du CAN MCP3221

 

Montages électroniques autour d'un microcontrôleur PIC

LED et boutons poussoirs avec un PIC

Afficheur LCD avec un PIC

Clavier matriciel avec un PIC

Utilisation du Convertisseur Analogique Numérique du PIC 16F88 (entrée AN0)

Observation du Convertisseur Analogique Numérique du PIC 16F88 dans un graphe mixte

Génération d'un signal MLI avec un PIC (sortie CCP1)

Filtrage d'un signal MLI généré par un PIC 16F88 : synthèse d'une sinusoïde

Déclenchement d'une interruption sur l'entrée B0 du PIC 16F88 (entrée INT)

Lecture dans un graphe des trames envoyées par un PIC à un afficheur LCD

Mesure et affichage de la période et de la fréquence d'un signal

Mesure et affichage des informations issues d'un odomètre (vitesse et distance)

 

La liaison série asynchrone RS232 avec un PIC

Liaison RS232 en émission avec un PIC 16F88 (sortie TX)

Utilisation d'un terminal série comme entrée standard du PIC 16F88 (entrée RX)

Terminal série en entrée et afficheur LCD série en sortie sur un PIC 16F88 (TX et RX)

Transmission de données par une liaison série RS232 entre deux PIC 16F88

 

La liaison série synchrone I2C avec un PIC

Liaison I2C entre un PIC 16F877 et un expander 8 bits MCP23008

Liaison I2C entre un PIC 16F877 et un expander 16 bits MCP23016 connecté à 4 afficheurs

Liaison I2C entre un PIC 16F877 et un CNA LTC2631-HZ8 : synthèse d'un signal en dents de scie

Liaison I2C entre un PIC 16F877 et un pilote d'afficheurs 7 segments SAA1064

Liaison I2C entre un PIC 16F877 et un afficheur LCD LM032L

 

Schéma bloc et asservissement

Extracteur de racine carrée en schéma bloc

 

La boîte à idées pour les projets de terminale

Eolicc : interprétation des informations de la girouette et affichage de la direction du vent

Scoot'Elec : décodage du code antivol en utilisant un PLD en séquenceur

Serrure : détection d'une clé électronique numérique à base de PLD

Chariot de Golf : mesure et affichage de la vitesse et de la distance parcourue avec un PIC


Téléchargement des fichiers PDF officiels livrés avec Proteus Pro 7

Tutorial de création d'un schéma avec ISIS

Les instruments virtuels d'ISIS Proteus 7

L'outil de visualisation 3D d'ARES

Comment créer des symboles actifs personalisés ? (document en anglais)

http://fractale.gecif.net/telechargement/proteus78.zip