ISIS SCHEMATIC FILEy DesignplateDS kjh\ kjdg\bJS28/10/1224/10/14&PJT * DESIGN CONFIGURATION DATAArialDefault Font` p M M ``@@@@ COMPONENTȪȪPINPORT@MARKER`O@ACTUATOR`O@@ INDICATOR`O@@VPROBE`OIPROBE`OTAPE`O GENERATOR`OTERMINAL@ SUBCIRCUIT=ȪȪ 2D GRAPHICWIRE DOT@@@WIRE@BUS WIREPSBORDERȪȪTEMPLATE COMPONENT ID&H Default FontCOMPONENT VALUE&0 Default Font PROPERTIES&0 Default FontTERMINAL LABEL&0 Default Font WIRE LABEL&0 Default Font SUBCKT ID&H Default Font SUBCKT NAME&0 Default FontSCRIPT&0 Default FontPIN NAME&0 Default Font PIN NUMBER&0 Default Font VPROBE LABEL&0 Default Font IPROBE LABEL&0 Default FontGENERATOR LABEL&0 Default Font TAPE LABEL&0 Default FontACTIVE READOUT0ArialPWRRAILS*RAILS *BINDINGS $MKRBUSNODE޳3I=8`O@MARKER9p s $MKRDECREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATOR9p $MKRINCREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATORpȜ8c;4!`O@@ACTUATORp8c8c;4!`O@@ACTUATORp9 $MKRLABEL޳304$MKRORIGINkf`O@MARKER0Default FontLABEL$MKRNODE޳3~94`O@MARKER9pp994`O@MARKER99pp $MKRORIGIN{3=8`O@MARKER9p s94`O@MARKER s94`O@MARKER s $MKRPINNAME޳304$MKRORIGINje`O@MARKER0Default FontNAME $MKRPINNUM޳304$MKRORIGINhc`O@MARKER/0Default Font99 $MKRTOGGLE7j70!`O@@ACTUATOR;4!`O@@ACTUATOR9p;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATORȜ8cp;4!`O@@ACTUATORp8c8c$PINBUS޳304$MKRORIGIN04?$MKRBUSNODE04$MKRPINNAME $PINDEFAULT޳3264PIN?04$MKRORIGIN04?$MKRNODE04$MKRPINNUM04$MKRORIGIN04$MKRPINNAME $PININVERT޳3d20PINX)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINNEGCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM20PINX)04?$MKRNODE04$MKRORIGIN04$MKRORIGIN04$MKRPINNAME $PINPOSCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINSHORT޳364PIN04$MKRPINNUM04$MKRNODE04$MKRORIGIN04$MKRORIGIN $TERBIDIR߳3{i`!@TERMINAL s0 s0;4!@TERMINAL;4!@TERMINAL s04$MKRORIGIN04$MKRNODE04H$MKRLABEL$TERBUS߳3i`!@TERMINAL040$MKRBUSNODE04$MKRORIGIN04$MKRLABEL $TERDEFAULT߳370!@TERMINAL;4!@TERMINAL90040$MKRNODE04$MKRORIGIN04/$MKRLABEL $TERGROUND߳3;4!@TERMINAL0;4!@TERMINAL0;4!@TERMINAL;4!@TERMINALȜ8c04$MKRORIGIN040$MKRNODE04?$MKRLABEL $TERINPUT߳3@i`!@TERMINAL s s;4!@TERMINAL004t$MKRORIGIN040t$MKRNODE04/$MKRLABEL $TEROUTPUT߳3@i`!@TERMINAL s0 s0;4!@TERMINAL04H$MKRLABEL04$MKRNODE04$MKRORIGIN $TERPOWER߳3@i`!@TERMINAL00;4!@TERMINAL004$MKRNODE04$MKRORIGIN04`$MKRLABEL $IAC AMMETERѕF3 v 80"ȪȪCOMPONENT`ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGINIMETER_3nf"ȪȪCOMPONENT ArialAC mA04$MKRORIGINIMETER_404$MKRORIGINnf"ȪȪCOMPONENT ArialAC A_{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=ACIMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $IAC VOLTMETERѕF7 G80"ȪȪCOMPONENT`qi"ȪȪCOMPONENT ArialAC Volts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2qi"ȪȪCOMPONENT ArialAC Volts04$MKRORIGINVMETER_3nf"ȪȪCOMPONENT ArialAC mV04$MKRORIGIN04$MKRORIGINVMETER_4nf"ȪȪCOMPONENT ArialAC V04$MKRORIGIN04$MKRORIGIN{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=ACVMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $ICOUNTER TIMERѕFT$k"  ;@8"ȪȪCOMPONENT@04$MKRORIGIN@8"Ȫ`COMPONENT Xo1 <4"ȪȪxCOMPONENT p<4"ȪȪxCOMPONENTp- 8 آ<4"ȪȪxCOMPONENTp- ? ?<4"ȪȪxCOMPONENTpآ<4"ȪȪxCOMPONENT8 hg p_<4"ȪȪxCOMPONENTph p_<4"ȪȪxCOMPONENT8 8h8<4"ȪȪxCOMPONENT` A<4"ȪȪxCOMPONENTآ<4"ȪȪxCOMPONENT??<4"ȪȪxCOMPONENTX Aآ<4"ȪȪxCOMPONENThH7p_<4"ȪȪxCOMPONENT Ahp_<4"ȪȪxCOMPONENT8z8<4"ȪȪxCOMPONENT81h<4"ȪȪxCOMPONENTjآ<4"ȪȪxCOMPONENT?0?<4"ȪȪxCOMPONENTthآ<4"ȪȪxCOMPONENTjhp_<4"ȪȪxCOMPONENThh0p_<4"ȪȪxCOMPONENTj8J8<4"ȪȪxCOMPONENT"<4"ȪȪxCOMPONENTH;آ<4"ȪȪxCOMPONENTH?x~"?<4"ȪȪxCOMPONENTD#"آ<4"ȪȪxCOMPONENT;hp_<4"ȪȪxCOMPONENT"hx~"p_<4"ȪȪxCOMPONENT;8@"8<4"ȪȪxCOMPONENT$(<4"ȪȪxCOMPONENTn$X $آ<4"ȪȪxCOMPONENTn$?N(?<4"ȪȪxCOMPONENT0)(آ<4"ȪȪxCOMPONENTX $h #p_<4"ȪȪxCOMPONENT(hN(p_<4"ȪȪxCOMPONENTX $8'8<4"ȪȪxCOMPONENT*@.<4"ȪȪxCOMPONENT>*)آ<4"ȪȪxCOMPONENT>*?.?<4"ȪȪxCOMPONENTx.@.آ<4"ȪȪxCOMPONENT)hhx)p_<4"ȪȪxCOMPONENT@.h.p_<4"ȪȪxCOMPONENT)8л-8<4"ȪȪxCOMPONENTXr0R4<4"ȪȪxCOMPONENT 0/آ<4"ȪȪxCOMPONENT 0?P3?<4"ȪȪxCOMPONENT4R4آ<4"ȪȪxCOMPONENT/hH/p_<4"ȪȪxCOMPONENTR4hP3p_<4"ȪȪxCOMPONENT/838<4"ȪȪxCOMPONENTB6":<4"ȪȪxCOMPONENTh50|5آ<4"ȪȪxCOMPONENTh5?9?<4"ȪȪxCOMPONENT:":آ<4"ȪȪxCOMPONENT0|5h5p_<4"ȪȪxCOMPONENT":h9p_<4"ȪȪxCOMPONENT0|58`\98p_$PINDEFAULTRST$PINPOSCLKCLK?$PINDEFAULTCECTIMER_0<4" FȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_1<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO04$MKRORIGINCTIMER_2<4" FȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_3<4" ȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_4<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENTHmOCTIMER_5<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_6<4" ȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_7<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_8<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_9<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_10x04$MKRORIGIN<4" FȪȪxCOMPONENT33CTIMER_11x04$MKRORIGIN<4" ȪȪxCOMPONENT33{*DEVICE} {ACTIVE=CTIMER,12,DLL} {HELP=INSTRUMENTS>POPUP,505} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="Operating Mode",KWDLIST,4,0="Time (secs)",1="Time (hms)",2="Frequency",3="Count"} {GATEPOL="Count Enable Polarity",KWDLIST,2,0="High",1="Low"} {RSTEDGE="Reset Edge Polarity",KWDLIST,2,0="Low-High",1="High-Low"} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=CTIMER.DLL} {RSTEDGE=0} {GATEPOL=0} {MODE=0} {PACKAGE=NULL} $IDC AMMETERѕF s 80"ȪȪCOMPONENT`me"ȪȪCOMPONENT ArialAmps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2me"ȪȪCOMPONENT ArialAmps04$MKRORIGINIMETER_3kc"ȪȪCOMPONENT ArialmA04$MKRORIGINIMETER_404$MKRORIGINkc"ȪȪCOMPONENT ArialA5{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=DCIMETER} {STATE=2} {PACKAGE=NULL} $IDC VOLTMETERѕF D80"ȪȪCOMPONENT`nf"ȪȪCOMPONENT ArialVolts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2nf"ȪȪCOMPONENT ArialVolts04$MKRORIGINVMETER_3kc"ȪȪCOMPONENT ArialmV04$MKRORIGIN04$MKRORIGINVMETER_4kc"ȪȪCOMPONENT ArialV04$MKRORIGIN04$MKRORIGINg{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} {STATE=2} {PACKAGE=NULL} $II2C DEBUGGERѕF(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENT/?ld"ȪȪ{COMPONENT(] ArialFI2C04$MKRORIGIN`$PINDEFAULTSDA$PINDEFAULTSCL?$PINDEFAULTTRIG{*DEVICE} {ACTIVE=I2C_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,510} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {CLOCKFREQ="Clock frequency in Hz",INT,PNZ} {ADDRESS1="Address byte 1",INT,0,255} {ADDRESS2="Address byte 2",INT,0,255} {STOPONEMPTY="Stop on buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=I2C Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=i2cdebug.dll} {AUTOLOAD=0} {CLOCKFREQ=100000} {STOPONEMPTY=1} {WRAPLENGTH=64} {PACKAGE=NULL} $ILOGIC ANALYSERѕF@8"ȪȪCOMPONENT@ >`E*04$MKRORIGIN@8"8cȪdCOMPONENTȜPP'D#04$MKRORIGIN<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT?&?<4" ȪȪxCOMPONENTp_&p_<4" ȪȪxCOMPONENT@&@<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT00P<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT A AP<4"ȪȪxCOMPONENTP!P!P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT""P@$PINDEFAULTA0@$PINDEFAULTA1@?$PINDEFAULTA2@p_$PINDEFAULTA3@@$PINDEFAULTA4@$PINDEFAULTA5@$PINDEFAULTA6@$PINDEFAULTA7B6$PINDEFAULTA8B6$PINDEFAULTA9B6?$PINDEFAULTA10B6p_$PINDEFAULTA11B6@$PINDEFAULTA12B6$PINDEFAULTA13B6$PINDEFAULTA14B6$PINDEFAULTA15@$PINBUSB0[0..7]@P$PINBUSB1[0..7]B6$PINBUSB2[0..7]B6P$PINBUSB3[0..7]){*DEVICE} {ACTIVE=DLA,0,DLL} {HELP=INSTRUMENTS>POPUP,502} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instrument} {SUBCAT=} {MFR=} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=DLA} {PACKAGE=NULL} $IOSCILLOSCOPEѕF!1k@8"ȪȪwCOMPONENT@"@8"8c0ȪhCOMPONENTOOQ`<4"ȪȪxCOMPONENTO0 0<4"ȪȪxCOMPONENTO` `<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENT0x 0O<4"ȪȪxCOMPONENTHx HO<4"ȪȪxCOMPONENT`x `O<4"ȪȪxCOMPONENTx x x O<4"ȪȪxCOMPONENTOH H<4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO/ /<4"ȪȪxCOMPONENTO? ?<4"ȪȪxCOMPONENT/x /O<4"ȪȪxCOMPONENT?x ?O04$MKRORIGIN<4"ȪȪxCOMPONENTx O=4#x2D GRAPHICOH?H=4#x2D GRAPHIC?H?#=4#x2D GRAPHIC?#/#=4#x2D GRAPHIC/#/H=4#x2D GRAPHIC/HH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICHH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICH0H=4#x2D GRAPHIC0H0#=4#x2D GRAPHIC0#H#=4#x2D GRAPHICH#HH=4#x2D GRAPHICHH`H=4#x2D GRAPHIC`H`#=4#x2D GRAPHIC`#x #=4#x2D GRAPHICx #x H=4#x2D GRAPHICx H H=4#x2D GRAPHICO?=4#x2D GRAPHIC?? s=4#x2D GRAPHIC? s/ s=4#x2D GRAPHIC/ s/=4#x2D GRAPHIC/=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC0=4#x2D GRAPHIC00 s=4#x2D GRAPHIC0 sH s=4#x2D GRAPHICH sH=4#x2D GRAPHICH`=4#x2D GRAPHIC`` s=4#x2D GRAPHIC` sx s=4#x2D GRAPHICx sx =4#x2D GRAPHICx  =4#x2D GRAPHIC)O=4#x2D GRAPHIC)?|=4#x2D GRAPHIC?|)=4#x2D GRAPHIC)`Y|=4#x2D GRAPHIC`Y|@)=4#x2D GRAPHIC@) s|=4#x2D GRAPHIC s|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|3)=4#x2D GRAPHIC3)`|=4#x2D GRAPHIC`|@M )=4#x2D GRAPHIC@M ) |=4#x2D GRAPHIC | PS<4"ȪȪxCOMPONENTO MD#x2D GRAPHICOOYMD#x2D GRAPHIChhMD#x2D GRAPHIChh93?آ?MD#x2D GRAPHICآ?w?HiHiMD#x2D GRAPHICHiHi/MD#x2D GRAPHIC/W((MD#x2D GRAPHIC((L??MD#x2D GRAPHIC?7,?MD#x2D GRAPHICxIMD#x2D GRAPHICxIMD#x2D GRAPHICf?X?MD#x2D GRAPHICX?E?ȜȜMD#x2D GRAPHICȜȜ8cMD#x2D GRAPHIC8c))MD#x2D GRAPHIC))y??MD#x2D GRAPHIC?_?MD#x2D GRAPHICY |MD#x2D GRAPHIC|hChCMD#x2D GRAPHIChChC9? ?MD#x2D GRAPHIC ?wy?HHMD#x2D GRAPHICHH'MD#x2D GRAPHICW(](]MD#x2D GRAPHIC(](]?#?MD#x2D GRAPHIC#?7?MD#x2D GRAPHIC@ x MD#x2D GRAPHICx  v v MD#x2D GRAPHICv v  ?X= ?MD#x2D GRAPHICX= ? X= ?  $PINDEFAULTA0$PINDEFAULTB$PINDEFAULTCp_$PINDEFAULTD/{*DEVICE} {ACTIVE=DSO,0,DLL} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {TRIGAUTO="TRIGAUTO",HIDDEN STRING} {TRIGSRC="TRIGSRC",HIDDEN STRING} {TRIGEDGE="TRIGEDGE",HIDDEN STRING} {TRIGACDC="TRIGACDC",HIDDEN STRING} {TRIGLEVEL="TRIGLEVEL",HIDDEN STRING} {TRIGDELAY="TRIGDELAY",HIDDEN STRING} {HORIZSRC="HORIZSRC",HIDDEN STRING} {HORIZVAL="HORIZVAL",HIDDEN STRING} {HORIZPOS="HORIZPOS",HIDDEN STRING} {CHAINV="CHAINV",HIDDEN STRING} {CHASUM="CHASUM",HIDDEN STRING} {CHAACDC="CHAACDC",HIDDEN STRING} {CHAVAL="CHAVAL",HIDDEN STRING} {CHAPOS="CHAPOS",HIDDEN STRING} {CHBINV="CHBINV",HIDDEN STRING} {CHBACDC="CHBACDC",HIDDEN STRING} {CHBVAL="CHBVAL",HIDDEN STRING} {CHBPOS="CHBPOS",HIDDEN STRING} {CHCINV="CHCINV",HIDDEN STRING} {CHCSUM="CHCSUM",HIDDEN STRING} {CHCACDC="CHCACDC",HIDDEN STRING} {CHCVAL="CHCVAL",HIDDEN STRING} {CHCPOS="CHCPOS",HIDDEN STRING} {CHDINV="CHDINV",HIDDEN STRING} {CHDACDC="CHDACDC",HIDDEN STRING} {CHDVAL="CHDVAL",HIDDEN STRING} {CHDPOS="CHDPOS",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instruments} {DESC=Digital Storage Oscilloscope} {SUBCAT=} {MFR=} {*COMPONENT} {MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} {TRIGAUTO=TRUE} {TRIGSRC=0} {TRIGEDGE=0} {TRIGACDC=1} {TRIGLEVEL=0} {TRIGDELAY=0} {HORIZSRC=0} {HORIZVAL=1.e-003} {HORIZPOS=0} {CHAINV=FALSE} {CHASUM=FALSE} {CHAACDC=0} {CHAVAL=5.} {CHAPOS=120} {CHBINV=FALSE} {CHBACDC=0} {CHBVAL=5.} {CHBPOS=40} {CHCINV=FALSE} {CHCSUM=FALSE} {CHCACDC=0} {CHCVAL=5.} {CHCPOS=-40} {CHDINV=FALSE} {CHDACDC=0} {CHDVAL=5.} {CHDPOS=-120} {PACKAGE=NULL} $IPATTERN GENERATORѕFS@8"ȪȪCOMPONENT]0A*04$MKRORIGIN@8"ȪkCOMPONENT&@8"ȪkCOMPONENT4P00@8"ȪkCOMPONENT4 00@8"ȪkCOMPONENT4+00@8"ȪkCOMPONENT4K00@8"ȪkCOMPONENT4k00@8"ȪkCOMPONENT4`00@8"ȪkCOMPONENT4000@8"ȪkCOMPONENT400@8"ȪkCOMPONENT0P00@8"ȪkCOMPONENT0 00@8"ȪkCOMPONENT0+00@8"ȪkCOMPONENT0K00@8"ȪkCOMPONENT0k00@8"ȪkCOMPONENT0`00@8"ȪkCOMPONENT0000@8"ȪkCOMPONENT000@8"ȪkCOMPONENT`,P00@8"ȪkCOMPONENT`, 00@8"ȪkCOMPONENT`,+00@8"ȪkCOMPONENT`,K00@8"ȪkCOMPONENT`,k00@8"ȪkCOMPONENT`,`00@8"ȪkCOMPONENT`,000@8"ȪkCOMPONENT`,00@8"ȪkCOMPONENT0)P00@8"ȪkCOMPONENT0) 00@8"ȪkCOMPONENT0)+00@8"ȪkCOMPONENT0)K00@8"ȪkCOMPONENT0)k00@8"ȪkCOMPONENT0)`00@8"ȪkCOMPONENT0)000@8"ȪkCOMPONENT0)00@8"ȪkCOMPONENT5%P00@8"ȪkCOMPONENT5% 00@8"ȪkCOMPONENT5%+00@8"ȪkCOMPONENT5%K00@8"ȪkCOMPONENT5%k00@8"ȪkCOMPONENT5%`00@8"ȪkCOMPONENT5%000@8"ȪkCOMPONENT5%00@8"ȪkCOMPONENTT!P00@8"ȪkCOMPONENTT! 00@8"ȪkCOMPONENTT!+00@8"ȪkCOMPONENTT!K00@8"ȪkCOMPONENTT!k00@8"ȪkCOMPONENTT!`00@8"ȪkCOMPONENTT!000@8"ȪkCOMPONENTT!00@8"ȪkCOMPONENTtP00@8"ȪkCOMPONENTt 00@8"ȪkCOMPONENTt+00@8"ȪkCOMPONENTtK00@8"ȪkCOMPONENTtk00@8"ȪkCOMPONENTt`00@8"ȪkCOMPONENTt000@8"ȪkCOMPONENTt00@8"ȪkCOMPONENTpP00@8"ȪkCOMPONENTp 00@8"ȪkCOMPONENTp+00@8"ȪkCOMPONENTpK00@8"ȪkCOMPONENTpk00@8"ȪkCOMPONENTp`00@8"ȪkCOMPONENTp000@8"ȪkCOMPONENTp00@8"ȪkCOMPONENT@P00@8"ȪkCOMPONENT@ 00@8"ȪkCOMPONENT@+00@8"ȪkCOMPONENT@K00@8"ȪkCOMPONENT@k00@8"ȪkCOMPONENT@`00@8"ȪkCOMPONENT@000@8"ȪkCOMPONENT@00@8"ȪkCOMPONENTP00@8"ȪkCOMPONENT 00@8"ȪkCOMPONENT+00@8"ȪkCOMPONENTK00@8"ȪkCOMPONENTk00@8"ȪkCOMPONENT`00@8"ȪkCOMPONENT000@8"ȪkCOMPONENT00@$PINDEFAULTOE0A$PINBUSB[0..7]0Ap_$PINDEFAULTQ00A@$PINDEFAULTQ10A$PINDEFAULTQ20A$PINDEFAULTQ30A$PINDEFAULTQ40A$PINDEFAULTQ50AP$PINDEFAULTQ60A >$PINDEFAULTQ7 >$PINDEFAULTCASCADE$PINDEFAULTCLKIN?$PINDEFAULTHOLDp_$PINDEFAULTTRIGP$PINDEFAULTCLKOUT{*DEVICE} {ACTIVE=PATTGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,504} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDATA="",HIDDEN STRING} {MODDLL="VSM Model DLL",READONLY STRING} {CLOCKRATE="Clock Rate",STRING} {TRIGGERRATE="Reset Rate",STRING} {CLOCKMODE="Clock Mode",KWDLIST,3,0="Internal",1="External Pos Edge",2="External Neg Edge"} {TRIGGERMODE="Reset Mode",KWDLIST,5,0="Internal",1="Async External Pos Edge",2="Sync External Pos Edge",3="Async External Neg Edge",4="Sync External Neg Edge"} {PERIODSEL="Period Selected",HIDDEN INT} {CLOCKOUTSEL="Clockout Enabled in Internal Mode",YESNO} {OUTPUTMODE="Output Configuration",KWDLIST,3,0="Output to Both Pins and Bus",1="Output to Pins Only",2="Output to Bus Only"} {PROGRAM="Pattern Generator Script",FILENAME,FALSE,,Pattern Generator Script/*.PTN} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDATA=1024,0} {MODDLL=PATTGEN.DLL} {CLOCKRATE=1.200kHz} {TRIGGERRATE=500.0mHz} {CLOCKOUTSEL=0} {TRIGGERMODE=0} {CLOCKMODE=0} {OUTPUTMODE=0} {PACKAGE=NULL} $ISIGNAL GENERATORѕF@8"ȪȪwCOMPONENT@p_ A80"`OȪaCOMPONENT?|HLD"ȪȪCOMPONENT`n"x 0x LD"ȪȪCOMPONENTH`Hn=x 0x LD"ȪȪCOMPONENTH`HSRH`HLD"ȪȪCOMPONENTx `x SmH`H<4"ȪȪCOMPONENTp<4"ȪȪCOMPONENTHp<4"ȪȪCOMPONENTHpH<4"ȪȪCOMPONENTHx p<4"ȪȪCOMPONENT@0X<4"ȪȪCOMPONENT0X`(<4"ȪȪCOMPONENT`(x @<4"ȪȪCOMPONENTO/<4"ȪȪCOMPONENT/H/<4"ȪȪCOMPONENTH/HO<4"ȪȪCOMPONENTHOx O<4"ȪȪCOMPONENTx Ox /<4"wȪȪxCOMPONENT?@M ?80"`OȪ`COMPONENT<4"wȪȪxCOMPONENT80"`OȪ`COMPONENT@<4"wȪȪxCOMPONENT@kc"ȪȪCOMPONENTp_O ArialAMkc"ȪȪCOMPONENTO ArialFMjb"ȪȪCOMPONENT ` Arial+jb"ȪȪCOMPONENT ? Arial-04$MKRORIGIN p_p_$PINSHORTA p_$PINSHORTF `$PINSHORT+ ?$PINSHORT-{*DEVICE} {ACTIVE=SIGGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,503} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {*INDEX} {*COMPONENT} {MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} {PACKAGE=NULL} $ISPI DEBUGGERѕF)(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENTO/ 04$MKRORIGINld"ȪȪ{COMPONENT)  ArialSPI`$PINDEFAULTDIN0$PINDEFAULTDOUT$PINDEFAULTSCK$PINDEFAULT$SS$?$PINDEFAULTTRIGE{*DEVICE} {ACTIVE=SPI_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,509} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="SPI Mode",KWDLIST,3,Monitor,Master,Slave} {CLOCKFREQ="Master clock frequency in Hz",FLOAT,PNZ} {IDLESTATE="SCK Idle state is",HILOW} {SAMPLEEDGE="Sampling edge",KWDLIST,2,Idle to active,Active to idle} {BITORDER="Bit order",KWDLIST,2,MSB first,LSB first} {WORDLENGTH="Word length",ADVANCED INT,1,16} {STOPONEMPTY="Stop on output buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {LOOPBACK="Loopback mode",ADVANCED BOOL} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=SPI Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=spidebug.dll} {AUTOLOAD=0} {MODE=Monitor} {CLOCKFREQ=1000000} {IDLESTATE=0} {SAMPLEEDGE=Idle to active} {BITORDER=MSB first} {WORDLENGTH=8} {STOPONEMPTY=1} {LOOPBACK=0} {PACKAGE=NULL} $IVIRTUAL TERMINALѕF@8"ȪȪwCOMPONENT@@.@8"8cȪmCOMPONENT@p_ A04$MKRORIGIN@8"ȪfCOMPONENTXo` $PINDEFAULTRXD$PINDEFAULTRTS0$PINDEFAULTTXDp_$PINDEFAULTCTSE{*DEVICE} {HELP=INSTRUMENTS>POPUP,506} {*PROPDEFS} {PRIMITIVE="Simulator Primitive",HIDDEN STRING} {MODDLL="VSM Model",HIDDEN STRING} {BAUDRATE="Baud Rate",KWDEDIT,9,110,300,1200,2400,4800,9600,19200,38400,57600} {DATABITS="Data Bits",KWDLIST,2,7,8} {PARITY="Parity",KWDLIST,3,NONE,EVEN,ODD} {STOPBITS="Stop Bits",KWDLIST,2,1,2} {XONXOFF="Send XON/XOFF",YESNO} {SIGPOL="RX/TX Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {CTLPOL="RTS/CTS Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {PACKAGE="PCB Package",PACKAGE} {TRACE="Trace Events",ADVANCED TRACEMODE} {*INDEX} {CAT=Virtual Instruments} {SUBCAT=} {MFR=} {DESC=Virtual RS232 / teletype terminal.} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=VTERM.DLL} {BAUDRATE=9600} {DATABITS=8} {PARITY=NONE} {STOPBITS=1} {XONXOFF=0} {SIGPOL=0} {CTLPOL=0} {PACKAGE=NULL} {TRACE=1} KEYPAD-SMALLCALCKN F (78g<`E`E04$MKRORIGIN@8"ȪiCOMPONENTH( @8"ȪiCOMPONENTQ( @8"ȪiCOMPONENT$( @8"ȪiCOMPONENTR4( @8"ȪiCOMPONENTHh @8"ȪiCOMPONENTQh @8"ȪiCOMPONENT$h @8"ȪiCOMPONENTR4h @8"ȪiCOMPONENTH @8"ȪiCOMPONENTQ @8"ȪiCOMPONENT$ @8"ȪiCOMPONENT$ jb"ȪkCOMPONENT ] S=x Arial{1jb"ȪkCOMPONENTP!] S=x Arial{2jb"ȪkCOMPONENT*] S=x Arial{3jb"ȪkCOMPONENT* S=x Arial{6jb"ȪkCOMPONENTP! S=x Arial{5jb"ȪkCOMPONENT S=x Arial{4jb"ȪkCOMPONENTP!p_ S=x Arial{8jb"ȪkCOMPONENT*p_ S=x Arial{9jb"ȪkCOMPONENT*0 S=x Arial{=jb"ȪkCOMPONENT p_ S=x Arial{7@8"ȪiCOMPONENTR4 <4" ȪȪxCOMPONENTHl7]X<]<4" ȪȪxCOMPONENT7`X<8<4" ȪȪxCOMPONENTHl7p_X<p_80" ȪȪxCOMPONENT":8c80" ȪȪxCOMPONENT": 8c@8"ȪiCOMPONENTR4 p X= jb"ȪȪ{COMPONENT":0 Arial+jb"ȪȪ{COMPONENT":0 Arial+<4" ȪȪxCOMPONENT78X<`@8"}ȪiCOMPONENTH jb"ȪȪxCOMPONENTP S=mArial{Ckc"ȪȪxCOMPONENTx S=mArial{ON<4"ȪȪxCOMPONENTv PH@8"ȪiCOMPONENTQ jb"ȪȪ{COMPONENTx Arial0p_$PINDEFAULTA$PINDEFAULTB]$PINDEFAULTC0$PINDEFAULTD <$PINDEFAULT1P!<$PINDEFAULT2":<$PINDEFAULT4*<$PINDEFAULT3{*DEVICE} ACTIVE=KEYPAD,0,DLL HELP=KEYPAD>MODEL,1 {*PROPDEFS} {PRIMITIVE="PRIMITIVE",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {A1="A1",HIDDEN STRING} {A2="A2",HIDDEN STRING} {A3="A3",HIDDEN STRING} {A4="A4",HIDDEN STRING} {B1="B1",HIDDEN STRING} {B2="B2",HIDDEN STRING} {B3="B3",HIDDEN STRING} {B4="B4",HIDDEN STRING} {C1="C1",HIDDEN STRING} {C2="C2",HIDDEN STRING} {C3="C3",HIDDEN STRING} {C4="C4",HIDDEN STRING} {D1="D1",HIDDEN STRING} {D2="D2",HIDDEN STRING} {D3="D3",HIDDEN STRING} {D4="D4",HIDDEN STRING} {PACKAGE=PCB Package,HIDDEN PACKAGE} {*INDEX} {CAT=Switches & Relays} {SUBCAT=Keypads} {DESC=Interactive matrix keypad for calculator} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=KEYPAD} {A1=SQUARE,0300,-300,300} {A2=SQUARE,0700,-300,300} {A3=SQUARE,1100,-300,300} {A4=SQUARE,1500,-300,300} {B1=SQUARE,0300,-700,300} {B2=SQUARE,0700,-700,300} {B3=SQUARE,1100,-700,300} {B4=SQUARE,1500,-700,300} {C1=SQUARE,0300,-1100,300} {C2=SQUARE,0700,-1100,300} {C3=SQUARE,1100,-1100,300} {C4=SQUARE,1500,-1100,300} {D1=SQUARE,0300,-1500,300} {D2=SQUARE,0700,-1500,300} {D3=SQUARE,1100,-1500,300} {D4=SQUARE,1500,-1500,300} {PACKAGE=NULL} LATCH_7i(H|@8"ȪȪCOMPONENT`0P!04$MKRORIGIN`$PINDEFAULTD0"$PINDEFAULTQ0` >$PINDEFAULTOE`$PINDEFAULTD1"$PINDEFAULTQ1`?$PINDEFAULTD2"?$PINDEFAULTQ2`p_$PINDEFAULTD3"p_$PINDEFAULTQ3`@$PINDEFAULTD4"@$PINDEFAULTQ4`$PINDEFAULTD5"$PINDEFAULTQ5`$PINDEFAULTD6"$PINDEFAULTQ6`$PINPOSCLKCLK`]$PINDEFAULTRESET`P$PINDEFAULTEN{*DEVICE} PREFIX=U HELP=MODELS>POPUP,135 {*PROPDEFS} {PRIMITIVE=Primitive Type,HIDDEN STRING} {EDGE=Edge Triggered Latch?,BOOL} {TDLHCQ=Clock To Q Delay (Low-High),ADVANCED FLOAT,POZ} {TDHLCQ=Clock To Q Delay (High-Low),ADVANCED FLOAT,POZ} {TDLHDQ=Data To Q Delay (Low-High),ADVANCED FLOAT,POZ} {TDHLDQ=Data To Q Delay (High-Low),ADVANCED FLOAT,POZ} {TDLZOQ=Output Enable To Q Delay (Low-HiZ),ADVANCED FLOAT,POZ} {TDZLOQ=Output Enable To Q Delay (HiZ-Low),ADVANCED FLOAT,POZ} {TDHZOQ=Output Enable To Q Delay (High-HiZ),ADVANCED FLOAT,POZ} {TDZHOQ=Output Enable To Q Delay (HiZ-High),ADVANCED FLOAT,POZ} {TDRQ=Reset to Q Delay,ADVANCED FLOAT,POZ} {TGQ=Deglitch Time For Q Output,ADVANCED FLOAT,POZ} {INIT=Initial Output,INT,0,127} {*INDEX} {CAT=Modelling Primitives} {SUBCAT=Digital (Sequential)} {DESC=Universal Latch Digital Primitive Model With Edge/Transparent Clock, Enable, Tristate Outputs and Reset} {*COMPONENT} {PRIMITIVE=DIGITAL} MDLS40466mJ@8"ȪȪCOMPONENT໪@@.@8"8c2FȪaCOMPONENTиǢ` 04$MKRORIGIN@$PINDEFAULTD715$PINDEFAULTD614$PINDEFAULTD513$PINDEFAULTD412$PINDEFAULTD311P$PINDEFAULTD210 >$PINDEFAULTD19]$PINDEFAULTD08`$PINDEFAULTE160$PINDEFAULTRW5$PINDEFAULTRS4@|$PINDEFAULTVSS1p\$PINDEFAULTVDD2<$PINDEFAULTVEE3$PINDEFAULTE27LCD_40X4_0|@8"8c2FȪaCOMPONENTиǢ` 04$MKRORIGINLCD_40X4_1|@8"8cȪaCOMPONENTиǢ` 04$MKRORIGINE{*DEVICE} {PREFIX=LCD} {ACTIVE=LCD_40X4,2,DLL} {HELP=DISPLAYS>POPUP,2} {DATA=pdfs/Display/HD44780.pdf} {*PROPDEFS} {MODDLL="VSM Model",READONLY STRING} {MODFILE="LISA Model File",READONLY STRING} {NUMCOLS="Number of Columns",READONLY INT} {NUMROWS="Number of Rows",READONLY INT} {CLOCK="Clock Frequency",ADVANCED FLOAT,PNZ} {ROW1="Row 1",ADVANCED STRING} {ROW2="Row 2",ADVANCED STRING} {ROW3="Row 3",ADVANCED STRING} {ROW4="Row 4",ADVANCED STRING} {PACKAGE="PCB Package",PACKAGE,1,CONN-DIL16} {TRACE=Controller Diagnostics,HIDDEN TRACEMODE} {*INDEX} {CAT=Optoelectronics} {SUBCAT=Alphanumeric LCDs} {DESC=40x4 Alphanumeric LCD Display (dual controllers)} {*COMPONENT} {MODDLL=LCDALPHA} {MODFILE=LCDX2} {NUMCOLS=40} {NUMROWS=4} {CLOCK=250kHz} {ROW1=80-A7} {ROW3=80-A7} {ROW2=A8-CF} {ROW4=A8-CF} {TRACE=1} {PACKAGE=CONN-DIL16} *PINOUT CONN-DIL16 {ELEMENTS=1} {PIN "D0" = 7} {PIN "D1" = 8} {PIN "D2" = 9} {PIN "D3" = 10} {PIN "D4" = 11} {PIN "D5" = 12} {PIN "D6" = 13} {PIN "D7" = 14} {PIN "E1" = 15} {PIN "E2" = 16} {PIN "RS" = 4} {PIN "RW" = 5} {PIN "VDD" = 2} {PIN "VEE" = 3} {PIN "VSS" = 1} MM74C922y J, |@8"ȪȪCOMPONENT` >P!*04$MKRORIGIN"$PINPOSCLKOSC5"$PINDEFAULTKBM6"p_$PINDEFAULTDA12"$PINDEFAULTA17"$PINDEFAULTB16"$PINDEFAULTC15"P$PINDEFAULTD14`$PINDEFAULTX111`$PINDEFAULTX210`?$PINDEFAULTX38`p_$PINDEFAULTX47`$PINDEFAULTY11`$PINDEFAULTY22`$PINDEFAULTY33`P$PINDEFAULTY44"@$PININVERT$OE13 `0 $PINDEFAULTVDD18 ` >$PINDEFAULTVSS9B{*DEVICE} {PREFIX=U} DATA=MM74C922.pdf,,,,,Proteus CD,pdfs\digital {*PROPDEFS} {VOLTAGE=Model Timing Voltage:,KWDLIST,3,5V,10V,15V} {PACKAGE="PCB Package",PACKAGE,2,DIL18,SOP20} {MODFILE=LISA Model File,READONLY STRING} {INTOSC="Oscillator Internal Source",ADVANCED YESNO} {COSE="Oscillator Capacitor",ADVANCED STRING} {CMSK="Bounce Mask Capacitor",ADVANCED STRING} {ITFMOD=Interface Model,HIDDEN STRING} {*INDEX} {MFR=Fairchild} {DESC=16-Key Encoder with debouncer} {CAT=CMOS 4000 series} {SUBCAT=Encoders} {*COMPONENT} {VOLTAGE=5V} {PACKAGE=DIL18} {MODFILE=MM74C922} {INTOSC=1} {COSE=10n} {CMSK=0.1u} {ITFMOD=CMOS} *PINOUT DIL18 {ELEMENTS=1} {PIN "$OE" = 13} {PIN "A" = 17} {PIN "B" = 16} {PIN "C" = 15} {PIN "D" = 14} {PIN "DA" = 12} {PIN "KBM" = 6} {PIN "OSC" = 5} {PIN "VDD" = 18} {PIN "VSS" = 9} {PIN "X1" = 11} {PIN "X2" = 10} {PIN "X3" = 8} {PIN "X4" = 7} {PIN "Y1" = 1} {PIN "Y2" = 2} {PIN "Y3" = 3} {PIN "Y4" = 4} {COMMON=VDD,VSS} *PINOUT SOP20 {ELEMENTS=1} {PIN "$OE" = 14} {PIN "A" = 19} {PIN "B" = 18} {PIN "C" = 17} {PIN "D" = 16} {PIN "DA" = 13} {PIN "KBM" = 7} {PIN "OSC" = 6} {PIN "VDD" = 20} {PIN "VSS" = 10} {PIN "X1" = 12} {PIN "X2" = 11} {PIN "X3" = 9} {PIN "X4" = 8} {PIN "Y1" = 1} {PIN "Y2" = 2} {PIN "Y3" = 3} {PIN "Y4" = 4} {NC=5,15} {COMMON=VDD,VSS} 2ISIS CIRCUIT FILE MOBJECT DATA 0}"ȪȪ{COMPONENT Default FontClavier matriciel 4x4 et afficheur LCD 4x40 MDLS40466 0"ȪȪ{COMPONENT `Default FontDu circuit LATCH_7 nous ne gardons que le symbole graphique avec 7 sorties Q0 Q6 et 5 entres D0 D4 : son comportement K"ȪȪ{COMPONENT Default FontTlchargez d'autres exemples ISIS Proteus sur www.gecif.netS/0ڇ,0 Default FontSCRIPT>Ralis par Jean-Christophe MICHEL www.gecif.net Octobre 2014 @l"ȪȪ{COMPONENT Default Fontinterfacs par un mme script EasyHDL ۦ"ȪȪ{COMPONENT `Default FontLe script EasyHDL, nomm GECIF, est sur la page 2 (voir menu Projet) et contient tout le programme du circuit LATCH_7. og"ȪȪ{COMPONENT Default FontPage 1 /p\"ȪȪ{COMPONENT `Default Fontest remplac par le script EasyHDL qui lit les entres et crit sur les sorties les commandes pour piloter l'afficheur LCD.jD ? A,0 Default FontSCRIPTHLe cblage des bornes d'alimentation VSS et VDD n'est pas indispensable LCD10Q S]2H Default FontCOMPONENT ID MDLS404660Q W50 Default FontCOMPONENT VALUE MDLS404660Q hS10 Default FontSUBCKT NAME/{MODDLL=LCDALPHA} {MODFILE=LCDX2} {NUMCOLS=40} 0Q hS00 Default FontPROPERTIES{MODDLL=LCDALPHA} {MODFILE=LCDX2} {NUMCOLS=40} {NUMROWS=4} {CLOCK=250kHz} {ROW1=80-A7} {ROW3=80-A7} {PACKAGE=CONN-DIL16} {TRACE=1} {ROW2=C0-E7} {ROW4=C0-E7}  MDLS40466`<J2U1X 2H Default FontCOMPONENT IDLATCH_7X50 Default FontCOMPONENT VALUELATCH_7Xx10 Default FontSUBCKT NAME&PRIMITIVE=DIGITAL,SCRIPT SCRIPT=GECIF Xx00 Default FontPROPERTIES&LATCH_7XoH5wJ2@WIRE`E81`EHhH@WIREI81Ih@WIREM81Mh@WIREcQ81cQ/h/@WIRE"81"OhO@WIRE*81*XohXo@WIRE@.81@.(h(&p $TERGROUND "x 40 Default FontTERMINAL LABEL@WIRE&81&Q`l2H Default FontCOMPONENT ID`Xg50 Default FontCOMPONENT VALUEKEYPAD-SMALLCALC`($c10 Default FontSUBCKT NAME%{PRIMITIVE=DIGITAL} {MODDLL=KEYPAD} `($c00 Default FontPROPERTIES{PRIMITIVE=DIGITAL} {MODDLL=KEYPAD} {A1=SQUARE,0300,-300,300} {A2=SQUARE,0700,-300,300} {A3=SQUARE,1100,-300,300} {A4=SQUARE,1500,-300,300} {B1=SQUARE,0300,-700,300} {B2=SQUARE,0700,-700,300} {B3=SQUARE,1100,-700,300} {B4=SQUARE,1500,-700,300} {C1=SQUARE,0300,-1100,300} {C2=SQUARE,0700,-1100,300} {C3=SQUARE,1100,-1100,300} {C4=SQUARE,1500,-1100,300} {D1=SQUARE,0300,-1500,300} {D2=SQUARE,0700,-1500,300} {D3=SQUARE,1100,-1500,300} {D4=SQUARE,1500,-1500,300} KEYPAD-SMALLCALCPa^g%o5U2l2H Default FontCOMPONENT IDMM74C922l50 Default FontCOMPONENT VALUEMM74C922l10 Default FontSUBCKT NAME0{VOLTAGE=5V} {PACKAGE=DIL18} {MODFILE=MM74C922} l00 Default FontPROPERTIES{VOLTAGE=5V} {PACKAGE=DIL18} {MODFILE=MM74C922} {INTOSC=1} {COSE=10n} {CMSK=0.1u} {ITFMOD=CMOS} MM74C9225w5o%gM@WIREXoOO@WIREXoHH@WIREXo@WIREXo((@WIREXo/@/@hh@WIRE ;p ;@WIRE`p`@WIRE9|p9|//@WIRElplOO@WIREWY$gW$gW@WIREWYR4wUR4wU@WIREWYHCSHCS@WIREWYTSQTSQhh >@ $TERGROUND 40 Default FontTERMINAL LABELM@WIREXo}Xo}@]@ISIS CIRCUIT FILE \EOBJECT DATA (jdog"ȪȪ{COMPONENT Default FontPage 24%$*SCRIPT PROGRAM GECIF *END SCRIPT ,0 Default FontSCRIPT///////////////////////////////////////////////////////////////////////////////////////////// // Clavier matriciel 4x4 et afficheur LCD 4x40 MDLS40466 interfacs par un script EasyHDL // Script EasyHDL ralis par Jean-Christophe MICHEL // www.gecif.net // Octobre 2014 ///////////////////////////////////////////////////////////////////////////////////////////// *SCRIPT PROGRAM GECIF PIN Q[0..3],Q4,Q5,Q6,D[0..4] FLOAT temporisation=0.4m INT i,n,adresse,controleur STRING s,touche,chaine="" // La variable controleur indique quelle entre de validation il faut utiliser : // - si controleur=1 alors on valide toutes les commandes (instructions et donnes) sur E1 // - si controleur=2 alors on valide toutes les commandes (instructions et donnes) sur E2 // - si controleur=3 alors on envoie les commandes sur les 2 contrleurs : E1 et E2 // C'est la fonction "valider" (en bas du script) qui dtermine l'entre de validation utiliser ///////////////////////////////////////////////////////////////////////////////////////////// // Envoie des instructions aux 2 controleurs de l'afficheur : ///////////////////////////////////////////////////////////////////////////////////////////// controleur=3 n=$33 // configure l'afficheur en 4 bits, 2 lignes, 5x8 GOSUB envoyer_instruction n=$32 GOSUB envoyer_instruction n=$0C // configure l'affichage avec le curseur invisible GOSUB envoyer_instruction n=$06 // configure le dplacement du curseur vers la droite GOSUB envoyer_instruction n=$28 // configure l'afficheur en multiligne en mode 4 bits GOSUB envoyer_instruction ///////////////////////////////////////////////////////////////////////////////////////////// // Dfinit le caractre en envoyant 8 octets dans la CGRAM de l'adresse $48 $4F : ///////////////////////////////////////////////////////////////////////////////////////////// DATA $04,$08,$0E,$11,$1F,$10,$0E,$00 FOR adresse=$48 TO $4F n=adresse GOSUB envoyer_instruction READ n GOSUB envoyer_donnee NEXT adresse ///////////////////////////////////////////////////////////////////////////////////////////// // Dfinit le caractre en envoyant 8 octets dans la CGRAM de l'adresse $50 $57 : ///////////////////////////////////////////////////////////////////////////////////////////// DATA $04,$02,$0E,$11,$1F,$10,$0E,$00 FOR adresse=$50 TO $57 n=adresse GOSUB envoyer_instruction READ n GOSUB envoyer_donnee NEXT adresse // l'instruction RESTORE vite l'erreur "Out of data" : RESTORE ///////////////////////////////////////////////////////////////////////////////////////////// // Envoie des donnes l'afficheur : ///////////////////////////////////////////////////////////////////////////////////////////// // Dtermine la touche enfonce en lisant les entres : IF D[0..4]=16 THEN touche="+" ELSIF D[0..4]=17 THEN touche="=" ELSIF D[0..4]=18 THEN touche="0" ELSIF D[0..4]=19 THEN touche="ON/C" ELSIF D[0..4]=20 THEN touche="-" ELSIF D[0..4]=21 THEN touche="3" ELSIF D[0..4]=22 THEN touche="2" ELSIF D[0..4]=23 THEN touche="1" ELSIF D[0..4]=24 THEN touche="x" ELSIF D[0..4]=25 THEN touche="6" ELSIF D[0..4]=26 THEN touche="5" ELSIF D[0..4]=27 THEN touche="4" ELSIF D[0..4]=28 THEN touche=CHR($FD) ELSIF D[0..4]=29 THEN touche="9" ELSIF D[0..4]=30 THEN touche="8" ELSIF D[0..4]=31 THEN touche="7" ENDIF IF D[0..4]=19 THEN // le touche ON/C efface la ligne 3 chaine="" // efface la ligne 3 : controleur=2 // pour les lignes 3 et 4 on utilise le second contrleur (entre E2) n=$80 GOSUB envoyer_instruction s=" " GOSUB afficher_chaine ELSE chaine=chaine+touche ENDIF // la variable controleur indique quelle entre de validation il faut utiliser : // - si controleur=1 alors on valide toutes les commandes (instructions et donnes) sur E1 // - si controleur=2 alors on valide toutes les commandes (instructions et donnes) sur E2 // - si controleur=3 alors on envoie les commandes sur les 2 contrleurs : E1 et E2 ///////////////////////////////////////////////////////////////////////////////////////////// // crit sur la ligne 1 : controleur=1 // pour les lignes 1 et 2 on utilise le premier contrleur (entre E1) n=$80 GOSUB envoyer_instruction s="Appuyez sur une touche du clavier" GOSUB afficher_chaine ///////////////////////////////////////////////////////////////////////////////////////////// // crit sur la ligne 2 : n=$C0 GOSUB envoyer_instruction IF D[0..4]<16 THEN s="Aucune touche enfonc" GOSUB afficher_chaine s=CHR(1)+"e" GOSUB afficher_chaine ELSE s="Touche " GOSUB afficher_chaine s=touche GOSUB afficher_chaine s=" enfonc" GOSUB afficher_chaine s=CHR(1)+"e " GOSUB afficher_chaine ENDIF ///////////////////////////////////////////////////////////////////////////////////////////// // crit sur la ligne 3 : controleur=2 // pour les lignes 3 et 4 on utilise le second contrleur (entre E2) n=$80 GOSUB envoyer_instruction s=chaine GOSUB afficher_chaine ///////////////////////////////////////////////////////////////////////////////////////////// // crit sur la ligne 4 : n=$C0 GOSUB envoyer_instruction s="La touche ON/C efface la 3" GOSUB afficher_chaine s=CHR(2)+"me ligne" GOSUB afficher_chaine END ///////////////////////////////////////////////////////////////////////////////////////////// // Fonction afficher_chaine : ///////////////////////////////////////////////////////////////////////////////////////////// // Cette fonction "afficher_chaine" affiche la chaine s afficher_chaine: FOR i = 1 TO LEN(s) n=ASC(s[i]) GOSUB envoyer_donnee NEXT i RETURN ///////////////////////////////////////////////////////////////////////////////////////////// // Fonction envoyer_donnee : ///////////////////////////////////////////////////////////////////////////////////////////// // Cette fonction "envoyer_donnee" met RS 1 puis envoie l'octet n en 2 tapes envoyer_donnee: Q4=1 SLEEP FOR temporisation Q[0..3]=(n>>4) SLEEP FOR temporisation GOSUB valider Q[0..3]=n SLEEP FOR temporisation GOSUB valider RETURN ///////////////////////////////////////////////////////////////////////////////////////////// // Fonction envoyer_instruction : ///////////////////////////////////////////////////////////////////////////////////////////// // Cette fonction "envoyer_instruction" met RS 0 puis envoie l'octet n en 2 tapes envoyer_instruction: Q4=0 SLEEP FOR temporisation Q[0..3]=(n>>4) SLEEP FOR temporisation GOSUB valider Q[0..3]=n SLEEP FOR temporisation GOSUB valider RETURN ///////////////////////////////////////////////////////////////////////////////////////////// // Fonction valider : ///////////////////////////////////////////////////////////////////////////////////////////// // Cette fonction "valider" envoie une impulsion sur E1 ou sur E2 en fonction de la // variable "controleur" // la variable controleur indique quelle entre de validation il faut utiliser : // - si controleur=1 alors on valide toutes les commandes (instructions et donnes) sur E1 // - si controleur=2 alors on valide toutes les commandes (instructions et donnes) sur E2 // - si controleur=3 alors on envoie les commandes sur les 2 contrleurs : E1 et E2 valider: IF controleur=1 THEN // validation par E1 seulement Q5=1 SLEEP FOR temporisation Q5=0 SLEEP FOR temporisation ENDIF IF controleur=2 THEN // validation par E1 seulement Q6=1 SLEEP FOR temporisation Q6=0 SLEEP FOR temporisation ENDIF IF controleur=3 THEN // validation par E1 et par E2 Q5=1 SLEEP FOR temporisation Q5=0 SLEEP FOR temporisation Q6=1 SLEEP FOR temporisation Q6=0 SLEEP FOR temporisation ENDIF RETURN ///////////////////////////////////////////////////////////////////////////////////////////// // Fin du script ///////////////////////////////////////////////////////////////////////////////////////////// *ENDSCRIPT ISIS CIRCUIT FILE MOBJECT DATACCT000LCCT001 __DEFAULT__]2ROOT10Schma LCD1D714D613D512D411D310D29D18D07E115RW5RS4VSS1VDD2VEE3E216 U1 U2OSC5KBM6DA12A17B16C15D14X111X210X38X47Y11Y22Y33Y44$OE13VDD18VSS9CCT000ROOT30Script EasyHDLCCT001 __DEFAULT__ __DEFAULT__ITFMOD.MDFLISA MODEL DESCRIPTION FORMAT 5.0 ================================= Design: K:\Prodev\Spice\ITFMOD.DSN Doc. no.: Revision: Author: Created: 18/03/98 Modified: 23/06/05 *MODELDEFS,18 CMOS : RHI=100,RLO=100,TRISE=1u,TFALL=1u,V+=VDD,V-=VSS NMOS : RHI=100,RLO=10,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND TTL : RHI=50, RLO=5,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH TTLHC : RHI=5,RLO=5, V+=VCC,V-=GND TTLHCT : RHI=5,RLO=5, V+=VCC,V-=GND TTLLS : RHI=100, RLO=10,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=12k,RNEG=50k, V+=VCC,V-=GND,FLOAT=HIGH TTLS : RHI=25, RLO=2.5,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,TRISE=0.5n,TFALL=0.5n, V+=VCC,V-=GND,FLOAT=HIGH PLD : RHI=20,RLO=20, V+=VCC,V-=GND,FLOAT=HIGH PIC : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n PIC3V3 : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.1,VTH=1.5,VHH=0.1,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n 8051 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=10n,TFALL=10n AT89 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=10n,TFALL=10n HC11 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n AVR : RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=10n,TFALL=10n MSP430 : RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=10n,TFALL=10n PICCOLO: RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=1n,TFALL=1n LPC2100: RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=V3,V-=VSS BSTAMP : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n RS232 : VLO=-8.5,VHI=8.5,RHI=300,RLO=300,VTL=1.2,VHL=0.5,VTH=1.7,VHH=0.5,RPOS=5k,RNEG=5k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=1u,TFALL=1u LCDX2.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: D:\PROLIBS_A\DISPLAY\LCD.DSN Doc. no.: Revision: Author: Created: 24/05/00 Modified: 16/05/06 *PROPERTIES,0 *MODELDEFS,0 *PARTLIST,2 U1,HD44780,HD44780,CLOCK=,ELEMENT=0,MODDLL=LCDALPHA,NUMCOLS=,NUMROWS=,PRIMITIVE=DIGITAL,ROW1=,ROW2=,TRACE= U2,HD44780,HD44780,CLOCK=,ELEMENT=1,MODDLL=LCDALPHA,NUMCOLS=,NUMROWS=,PRIMITIVE=DIGITAL,ROW3=,ROW4=,TRACE= *NETLIST,12 E1,2 E1,IT U1,PS,E RW,3 RW,IT U1,PS,RW U2,PS,RW RS,3 RS,IT U1,PS,RS U2,PS,RS D0,3 D0,GT U1,IO,D0 U2,IO,D0 D1,3 D1,GT U1,IO,D1 U2,IO,D1 D2,3 D2,GT U1,IO,D2 U2,IO,D2 D3,3 D3,GT U1,IO,D3 U2,IO,D3 D4,3 D4,GT U1,IO,D4 U2,IO,D4 D5,3 D5,GT U1,IO,D5 U2,IO,D5 D6,3 D6,GT U1,IO,D6 U2,IO,D6 D7,3 D7,GT U1,IO,D7 U2,IO,D7 E2,2 E2,IT U2,PS,E *GATES,0 MM74C922.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\DIGITAL\CMOS\MM74C922.DSN Doc. no.: Revision: Author: Created: 27/04/04 Modified: 24/10/05 *PROPERTIES,1 TGQ=? *MAPPINGS,3,VALUE+VOLTAGE MM74C922+5V : TDLZOQ=200n, TDHZOQ=200n, TDZLOQ=250n, TDZHOQ=250n, TDLHCQ=150n, TDHLCQ=150n MM74C922+10V : TDLZOQ=150n, TDHZOQ=200n, TDZLOQ=125n, TDZHOQ=125n, TDLHCQ=80n, TDHLCQ=80n MM74C922+15V : TDLZOQ=110n, TDHZOQ=200n, TDZLOQ=90n, TDZHOQ=90n , TDLHCQ=60n, TDHLCQ=60n *MAPPINGS,2,INTOSC 0 : OSC=[NULL] 1 : OSC="1,2" *MODELDEFS,0 *PARTLIST,17 G435D559A,DIGITAL!,U8(B0),COUNT=-1*,HIDDENPROPS=FALSE,INIT=LOW,MANUALEDITS=TRUE,PRIMITIVE=PROBE,START=0.00,WIDTH=0.5/(60u/) M435D559A,VPROBE,U8(B0),PRIMITIVE=PROBE R1,RESISTOR,10k,PRIMITIVE=DIGITAL R2,RESISTOR,10k,PRIMITIVE=DIGITAL R3,RESISTOR,10k,PRIMITIVE=DIGITAL R4,RESISTOR,10k,PRIMITIVE=DIGITAL R5,PULLUP,,CONNECT=,PRIMITIVE=NULL R6,RESISTOR,PULLUP,PRIMITIVE=DIGITAL R7,RESISTOR,10k,PRIMITIVE=ANALOGUE U1,DECODER_2_4,DECODER_2_4,INVERT="Q0,Q1,Q2,Q3",PRIMITIVE=DIGITAL U2,COUNTER_3,COUNTER_3,LOWER=0,PRIMITIVE=DIGITAL,UPPER=3 U3,LATCH_6,LATCH_6,EDGE=1,INVERT="CLK,OE",PRIMITIVE=DIGITAL,TDHLCQ=,TDHZOQ=,TDLHCQ=,TDLZOQ=,TDZHOQ=,TDZLOQ=,TGQ= U4,ENCODER_8_3,ENCODER_8_3,INVERT="D0,D1,D2,D3,D4,D5,D6,D7",PRIMITIVE=DIGITAL U5,AND_4,AND_4,PRIMITIVE=DIGITAL U6,INVERTER,INVERTER,PRIMITIVE=DIGITAL U7,DELAY_1,*1E4,PRIMITIVE=DIGITAL U8,AORB_1,AORB_1,INVERT=ASEL,PRIMITIVE=DIGITAL *NETLIST,37 #00000,3 U1,IP,D0 U2,OP,Q0 U3,IP,D0 #00001,3 U1,IP,D1 U2,OP,Q1 U3,IP,D1 #00002,1 U2,IP,D0 #00003,1 U2,IP,D1 #00004,1 U2,IP,D2 #00005,1 U2,OP,Q2 #00006,2 U2,IP,UCLK U8,PS,Q0 #00007,4 U2,IP,CE U7,IP,D0 U5,OP,Q R7,PS,2 #00008,1 U2,OP,MIN #00009,1 U2,OP,MAX #00010,1 U2,OP,RCO #00011,3 G435D559A,OP,* M435D559A,IP,* U8,PS,B0 #00012,2 U3,IP,D2 U4,OP,Q0 #00013,2 U3,IP,D3 U4,OP,Q1 #00014,1 U3,OP,Q4 #00015,1 U3,OP,Q5 #00016,3 U3,IP,CLK U6,IP,D U7,OP,Q0 #00017,1 U4,OP,Q2 #00018,1 U4,OP,EO #00019,3 U8,PS,ASEL R5,PS,1 R6,PS,2 X1,2 X1,GT U1,OP,Q0 X2,2 X2,GT U1,OP,Q1 X4,2 X4,GT U1,OP,Q3 X3,2 X3,GT U1,OP,Q2 A,2 A,GT U3,OP,Q0 $OE,2 $OE,GT U3,IP,OE B,2 B,GT U3,OP,Q1 C,2 C,GT U3,OP,Q2 D,2 D,GT U3,OP,Q3 Y1,4 Y1,GT U4,IP,D0 U5,IP,D0 R4,PS,2 Y2,4 Y2,GT U4,IP,D1 U5,IP,D1 R3,PS,2 Y3,4 Y3,GT U4,IP,D2 U5,IP,D2 R2,PS,2 Y4,4 Y4,GT U4,IP,D3 U5,IP,D3 R1,PS,2 DA,2 DA,GT U6,OP,Q OSC,2 OSC,GT U8,PS,A0 VCC,16 VCC,PT R5,PS,2 U4,IP,D4 U4,IP,D7 U4,IP,EI R1,PS,1 R2,PS,1 R4,PS,1 R3,PS,1 U4,IP,D6 U4,IP,D5 U3,IP,EN U2,IP,OE U2,IP,CNTUP U1,IP,EN U7,PS,EN GND,11 GND,PT KBM,GT R7,PS,1 R6,PS,1 U3,IP,D4 U3,IP,D5 U3,PS,RESET U2,IP,DCLK U2,IP,LOAD U2,IP,RESET U1,IP,ALL *GATES,0 ???@ư>1- ABSTOL1e-12 BADMOS3No BYPASSYes CHGTOL1e-14DEFAD0DEFAS0 DEFAULTS0 DEFL0.0001 DEFW0.0001 GMIN1e-18GMINSTEPS120 ITL1100ITL250ITL450 LOGSTART0 LOGTIME60 MAXORD2METHODTRAPEZOIDAL NOOPITERNo NUMSTEPS50 OLDLIMITNo PIVREL0.001 PIVTOL1e-13 RELTOL0.01 RSHUNT1e+12 SRCSTEPS120 TDLOWER0.9 TDSCALE1 TDUPPER1.1TEMP27 TMIN1e-12TNOM27TRACE_CONTENTIONS1,0TRACE_CONVERGENCE1,1TRACE_DCPATHS1,2TRACE_ITFMODS1,3TRACE_NETTYPES1,4TRACE_OPTIONS1,5TRACE_PERFORMANCE1,6TRACE_SPICELINK1,7TRANGMIN1e-18TRTOL7TRYTOCOMPACTNo TTOL1e-09 VNTOL1e-06@v6>pYx`k&0