ISIS SCHEMATIC FILEy DesignplateDS kjh\ kjdg\bJS21/10/1221/10/12PMP * DESIGN CONFIGURATION DATAArialDefault Font  p M M ``@@@@ COMPONENTȪȪPINPORT@MARKER`O@ACTUATOR`O@@ INDICATOR`O@@VPROBE`OIPROBE`OTAPE`O GENERATOR`OTERMINAL@ SUBCIRCUIT=ȪȪ 2D GRAPHICWIRE DOT@@@WIRE@BUS WIREPSBORDERȪȪTEMPLATE COMPONENT ID&H Default FontCOMPONENT VALUE&0 Default Font PROPERTIES&0 Default FontTERMINAL LABEL&0 Default Font WIRE LABEL&0 Default Font SUBCKT ID&H Default Font SUBCKT NAME&0 Default FontSCRIPT&0 Default FontPIN NAME&0 Default Font PIN NUMBER&0 Default Font VPROBE LABEL&0 Default Font IPROBE LABEL&0 Default FontGENERATOR LABEL&0 Default Font TAPE LABEL&0 Default FontACTIVE READOUT0ArialPWRRAILS*RAILS *BINDINGS $DCGEN޳3z j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN04H$MKRLABEL<4"`OGENERATOR|p|<4"`OGENERATOR|PS|<4"`OGENERATOR|0|<4"`OGENERATOR|m|<4"`OGENERATORPSPS<4"`OGENERATOR3||$DCLOCK0a"6. j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN<4"`OGENERATOR))<4"`OGENERATOR)m<4"`OGENERATORmm<4"`OGENERATORm)<4"`OGENERATOR))<4"`OGENERATOR)m<4"`OGENERATORm3m<4"`OGENERATOR3m3)<4"`OGENERATOR3)(])04آH$MKRLABEL $MKRBUSNODE޳3I=8`O@MARKER9p s $MKRDECREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATOR9p $MKRINCREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATORpȜ8c;4!`O@@ACTUATORp8c8c;4!`O@@ACTUATORp9 $MKRLABEL޳304$MKRORIGINkf`O@MARKER0Default FontLABEL$MKRNODE޳3~94`O@MARKER9pp994`O@MARKER99pp $MKRORIGIN{3=8`O@MARKER9p s94`O@MARKER s94`O@MARKER s $MKRPINNAME޳304$MKRORIGINje`O@MARKER0Default FontNAME $MKRPINNUM޳304$MKRORIGINhc`O@MARKER/0Default Font99 $MKRTOGGLE7j70!`O@@ACTUATOR;4!`O@@ACTUATOR9p;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATORȜ8cp;4!`O@@ACTUATORp8c8c$PINBUS޳304$MKRORIGIN04?$MKRBUSNODE04$MKRPINNAME $PINDEFAULT޳3264PIN?04$MKRORIGIN04?$MKRNODE04$MKRPINNUM04$MKRORIGIN04$MKRPINNAME $PININVERT޳3d20PINX)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINNEGCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM20PINX)04?$MKRNODE04$MKRORIGIN04$MKRORIGIN04$MKRPINNAME $PINPOSCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINSHORT޳364PIN04$MKRPINNUM04$MKRNODE04$MKRORIGIN04$MKRORIGIN $TERBIDIR߳3{i`!@TERMINAL s0 s0;4!@TERMINAL;4!@TERMINAL s04$MKRORIGIN04$MKRNODE04H$MKRLABEL$TERBUS߳3i`!@TERMINAL040$MKRBUSNODE04$MKRORIGIN04$MKRLABEL $TERDEFAULT߳370!@TERMINAL;4!@TERMINAL90040$MKRNODE04$MKRORIGIN04/$MKRLABEL $TERGROUND߳3;4!@TERMINAL0;4!@TERMINAL0;4!@TERMINAL;4!@TERMINALȜ8c04$MKRORIGIN040$MKRNODE04?$MKRLABEL $TERINPUT߳3@i`!@TERMINAL s s;4!@TERMINAL004t$MKRORIGIN040t$MKRNODE04/$MKRLABEL $TEROUTPUT߳3@i`!@TERMINAL s0 s0;4!@TERMINAL04H$MKRLABEL04$MKRNODE04$MKRORIGIN $TERPOWER߳3@i`!@TERMINAL00;4!@TERMINAL004$MKRNODE04$MKRORIGIN04`$MKRLABEL $IAC AMMETERѕF3 v 80"ȪȪCOMPONENT`ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGINIMETER_3nf"ȪȪCOMPONENT ArialAC mA04$MKRORIGINIMETER_404$MKRORIGINnf"ȪȪCOMPONENT ArialAC A_{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=ACIMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $IAC VOLTMETERѕF7 G80"ȪȪCOMPONENT`qi"ȪȪCOMPONENT ArialAC Volts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2qi"ȪȪCOMPONENT ArialAC Volts04$MKRORIGINVMETER_3nf"ȪȪCOMPONENT ArialAC mV04$MKRORIGIN04$MKRORIGINVMETER_4nf"ȪȪCOMPONENT ArialAC V04$MKRORIGIN04$MKRORIGIN{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=ACVMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $ICOUNTER TIMERѕFT$k"  ;@8"ȪȪCOMPONENT@04$MKRORIGIN@8"Ȫ`COMPONENT Xo1 <4"ȪȪxCOMPONENT p<4"ȪȪxCOMPONENTp- 8 آ<4"ȪȪxCOMPONENTp- ? ?<4"ȪȪxCOMPONENTpآ<4"ȪȪxCOMPONENT8 hg p_<4"ȪȪxCOMPONENTph p_<4"ȪȪxCOMPONENT8 8h8<4"ȪȪxCOMPONENT` A<4"ȪȪxCOMPONENTآ<4"ȪȪxCOMPONENT??<4"ȪȪxCOMPONENTX Aآ<4"ȪȪxCOMPONENThH7p_<4"ȪȪxCOMPONENT Ahp_<4"ȪȪxCOMPONENT8z8<4"ȪȪxCOMPONENT81h<4"ȪȪxCOMPONENTjآ<4"ȪȪxCOMPONENT?0?<4"ȪȪxCOMPONENTthآ<4"ȪȪxCOMPONENTjhp_<4"ȪȪxCOMPONENThh0p_<4"ȪȪxCOMPONENTj8J8<4"ȪȪxCOMPONENT"<4"ȪȪxCOMPONENTH;آ<4"ȪȪxCOMPONENTH?x~"?<4"ȪȪxCOMPONENTD#"آ<4"ȪȪxCOMPONENT;hp_<4"ȪȪxCOMPONENT"hx~"p_<4"ȪȪxCOMPONENT;8@"8<4"ȪȪxCOMPONENT$(<4"ȪȪxCOMPONENTn$X $آ<4"ȪȪxCOMPONENTn$?N(?<4"ȪȪxCOMPONENT0)(آ<4"ȪȪxCOMPONENTX $h #p_<4"ȪȪxCOMPONENT(hN(p_<4"ȪȪxCOMPONENTX $8'8<4"ȪȪxCOMPONENT*@.<4"ȪȪxCOMPONENT>*)آ<4"ȪȪxCOMPONENT>*?.?<4"ȪȪxCOMPONENTx.@.آ<4"ȪȪxCOMPONENT)hhx)p_<4"ȪȪxCOMPONENT@.h.p_<4"ȪȪxCOMPONENT)8л-8<4"ȪȪxCOMPONENTXr0R4<4"ȪȪxCOMPONENT 0/آ<4"ȪȪxCOMPONENT 0?P3?<4"ȪȪxCOMPONENT4R4آ<4"ȪȪxCOMPONENT/hH/p_<4"ȪȪxCOMPONENTR4hP3p_<4"ȪȪxCOMPONENT/838<4"ȪȪxCOMPONENTB6":<4"ȪȪxCOMPONENTh50|5آ<4"ȪȪxCOMPONENTh5?9?<4"ȪȪxCOMPONENT:":آ<4"ȪȪxCOMPONENT0|5h5p_<4"ȪȪxCOMPONENT":h9p_<4"ȪȪxCOMPONENT0|58`\98p_$PINDEFAULTRST$PINPOSCLKCLK?$PINDEFAULTCECTIMER_0<4" FȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_1<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO04$MKRORIGINCTIMER_2<4" FȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_3<4" ȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_4<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENTHmOCTIMER_5<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_6<4" ȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_7<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_8<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_9<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_10x04$MKRORIGIN<4" FȪȪxCOMPONENT33CTIMER_11x04$MKRORIGIN<4" ȪȪxCOMPONENT33{*DEVICE} {ACTIVE=CTIMER,12,DLL} {HELP=INSTRUMENTS>POPUP,505} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="Operating Mode",KWDLIST,4,0="Time (secs)",1="Time (hms)",2="Frequency",3="Count"} {GATEPOL="Count Enable Polarity",KWDLIST,2,0="High",1="Low"} {RSTEDGE="Reset Edge Polarity",KWDLIST,2,0="Low-High",1="High-Low"} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=CTIMER.DLL} {RSTEDGE=0} {GATEPOL=0} {MODE=0} {PACKAGE=NULL} $IDC AMMETERѕF s 80"ȪȪCOMPONENT`me"ȪȪCOMPONENT ArialAmps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2me"ȪȪCOMPONENT ArialAmps04$MKRORIGINIMETER_3kc"ȪȪCOMPONENT ArialmA04$MKRORIGINIMETER_404$MKRORIGINkc"ȪȪCOMPONENT ArialA5{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=DCIMETER} {STATE=2} {PACKAGE=NULL} $IDC VOLTMETERѕF D80"ȪȪCOMPONENT`nf"ȪȪCOMPONENT ArialVolts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2nf"ȪȪCOMPONENT ArialVolts04$MKRORIGINVMETER_3kc"ȪȪCOMPONENT ArialmV04$MKRORIGIN04$MKRORIGINVMETER_4kc"ȪȪCOMPONENT ArialV04$MKRORIGIN04$MKRORIGINg{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} {STATE=2} {PACKAGE=NULL} $II2C DEBUGGERѕF(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENT/?ld"ȪȪ{COMPONENT(] ArialFI2C04$MKRORIGIN`$PINDEFAULTSDA$PINDEFAULTSCL?$PINDEFAULTTRIG{*DEVICE} {ACTIVE=I2C_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,510} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {CLOCKFREQ="Clock frequency in Hz",INT,PNZ} {ADDRESS1="Address byte 1",INT,0,255} {ADDRESS2="Address byte 2",INT,0,255} {STOPONEMPTY="Stop on buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=I2C Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=i2cdebug.dll} {AUTOLOAD=0} {CLOCKFREQ=100000} {STOPONEMPTY=1} {WRAPLENGTH=64} {PACKAGE=NULL} $ILOGIC ANALYSERѕF@8"ȪȪCOMPONENT@ >`E*04$MKRORIGIN@8"8cȪdCOMPONENTȜPP'D#04$MKRORIGIN<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT?&?<4" ȪȪxCOMPONENTp_&p_<4" ȪȪxCOMPONENT@&@<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT00P<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT A AP<4"ȪȪxCOMPONENTP!P!P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT""P@$PINDEFAULTA0@$PINDEFAULTA1@?$PINDEFAULTA2@p_$PINDEFAULTA3@@$PINDEFAULTA4@$PINDEFAULTA5@$PINDEFAULTA6@$PINDEFAULTA7B6$PINDEFAULTA8B6$PINDEFAULTA9B6?$PINDEFAULTA10B6p_$PINDEFAULTA11B6@$PINDEFAULTA12B6$PINDEFAULTA13B6$PINDEFAULTA14B6$PINDEFAULTA15@$PINBUSB0[0..7]@P$PINBUSB1[0..7]B6$PINBUSB2[0..7]B6P$PINBUSB3[0..7]){*DEVICE} {ACTIVE=DLA,0,DLL} {HELP=INSTRUMENTS>POPUP,502} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instrument} {SUBCAT=} {MFR=} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=DLA} {PACKAGE=NULL} $IOSCILLOSCOPEѕF!1k@8"ȪȪwCOMPONENT@"@8"8c0ȪhCOMPONENTOOQ`<4"ȪȪxCOMPONENTO0 0<4"ȪȪxCOMPONENTO` `<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENT0x 0O<4"ȪȪxCOMPONENTHx HO<4"ȪȪxCOMPONENT`x `O<4"ȪȪxCOMPONENTx x x O<4"ȪȪxCOMPONENTOH H<4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO/ /<4"ȪȪxCOMPONENTO? ?<4"ȪȪxCOMPONENT/x /O<4"ȪȪxCOMPONENT?x ?O04$MKRORIGIN<4"ȪȪxCOMPONENTx O=4#x2D GRAPHICOH?H=4#x2D GRAPHIC?H?#=4#x2D GRAPHIC?#/#=4#x2D GRAPHIC/#/H=4#x2D GRAPHIC/HH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICHH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICH0H=4#x2D GRAPHIC0H0#=4#x2D GRAPHIC0#H#=4#x2D GRAPHICH#HH=4#x2D GRAPHICHH`H=4#x2D GRAPHIC`H`#=4#x2D GRAPHIC`#x #=4#x2D GRAPHICx #x H=4#x2D GRAPHICx H H=4#x2D GRAPHICO?=4#x2D GRAPHIC?? s=4#x2D GRAPHIC? s/ s=4#x2D GRAPHIC/ s/=4#x2D GRAPHIC/=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC0=4#x2D GRAPHIC00 s=4#x2D GRAPHIC0 sH s=4#x2D GRAPHICH sH=4#x2D GRAPHICH`=4#x2D GRAPHIC`` s=4#x2D GRAPHIC` sx s=4#x2D GRAPHICx sx =4#x2D GRAPHICx  =4#x2D GRAPHIC)O=4#x2D GRAPHIC)?|=4#x2D GRAPHIC?|)=4#x2D GRAPHIC)`Y|=4#x2D GRAPHIC`Y|@)=4#x2D GRAPHIC@) s|=4#x2D GRAPHIC s|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|3)=4#x2D GRAPHIC3)`|=4#x2D GRAPHIC`|@M )=4#x2D GRAPHIC@M ) |=4#x2D GRAPHIC | PS<4"ȪȪxCOMPONENTO MD#x2D GRAPHICOOYMD#x2D GRAPHIChhMD#x2D GRAPHIChh93?آ?MD#x2D GRAPHICآ?w?HiHiMD#x2D GRAPHICHiHi/MD#x2D GRAPHIC/W((MD#x2D GRAPHIC((L??MD#x2D GRAPHIC?7,?MD#x2D GRAPHICxIMD#x2D GRAPHICxIMD#x2D GRAPHICf?X?MD#x2D GRAPHICX?E?ȜȜMD#x2D GRAPHICȜȜ8cMD#x2D GRAPHIC8c))MD#x2D GRAPHIC))y??MD#x2D GRAPHIC?_?MD#x2D GRAPHICY |MD#x2D GRAPHIC|hChCMD#x2D GRAPHIChChC9? ?MD#x2D GRAPHIC ?wy?HHMD#x2D GRAPHICHH'MD#x2D GRAPHICW(](]MD#x2D GRAPHIC(](]?#?MD#x2D GRAPHIC#?7?MD#x2D GRAPHIC@ x MD#x2D GRAPHICx  v v MD#x2D GRAPHICv v  ?X= ?MD#x2D GRAPHICX= ? X= ?  $PINDEFAULTA0$PINDEFAULTB$PINDEFAULTCp_$PINDEFAULTD/{*DEVICE} {ACTIVE=DSO,0,DLL} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {TRIGAUTO="TRIGAUTO",HIDDEN STRING} {TRIGSRC="TRIGSRC",HIDDEN STRING} {TRIGEDGE="TRIGEDGE",HIDDEN STRING} {TRIGACDC="TRIGACDC",HIDDEN STRING} {TRIGLEVEL="TRIGLEVEL",HIDDEN STRING} {TRIGDELAY="TRIGDELAY",HIDDEN STRING} {HORIZSRC="HORIZSRC",HIDDEN STRING} {HORIZVAL="HORIZVAL",HIDDEN STRING} {HORIZPOS="HORIZPOS",HIDDEN STRING} {CHAINV="CHAINV",HIDDEN STRING} {CHASUM="CHASUM",HIDDEN STRING} {CHAACDC="CHAACDC",HIDDEN STRING} {CHAVAL="CHAVAL",HIDDEN STRING} {CHAPOS="CHAPOS",HIDDEN STRING} {CHBINV="CHBINV",HIDDEN STRING} {CHBACDC="CHBACDC",HIDDEN STRING} {CHBVAL="CHBVAL",HIDDEN STRING} {CHBPOS="CHBPOS",HIDDEN STRING} {CHCINV="CHCINV",HIDDEN STRING} {CHCSUM="CHCSUM",HIDDEN STRING} {CHCACDC="CHCACDC",HIDDEN STRING} {CHCVAL="CHCVAL",HIDDEN STRING} {CHCPOS="CHCPOS",HIDDEN STRING} {CHDINV="CHDINV",HIDDEN STRING} {CHDACDC="CHDACDC",HIDDEN STRING} {CHDVAL="CHDVAL",HIDDEN STRING} {CHDPOS="CHDPOS",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instruments} {DESC=Digital Storage Oscilloscope} {SUBCAT=} {MFR=} {*COMPONENT} {MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} {TRIGAUTO=TRUE} {TRIGSRC=0} {TRIGEDGE=0} {TRIGACDC=1} {TRIGLEVEL=0} {TRIGDELAY=0} {HORIZSRC=0} {HORIZVAL=1.e-003} {HORIZPOS=0} {CHAINV=FALSE} {CHASUM=FALSE} {CHAACDC=0} {CHAVAL=5.} {CHAPOS=120} {CHBINV=FALSE} {CHBACDC=0} {CHBVAL=5.} {CHBPOS=40} {CHCINV=FALSE} {CHCSUM=FALSE} {CHCACDC=0} {CHCVAL=5.} {CHCPOS=-40} {CHDINV=FALSE} {CHDACDC=0} {CHDVAL=5.} {CHDPOS=-120} {PACKAGE=NULL} $IPATTERN GENERATORѕFS@8"ȪȪCOMPONENT]0A*04$MKRORIGIN@8"ȪkCOMPONENT&@8"ȪkCOMPONENT4P00@8"ȪkCOMPONENT4 00@8"ȪkCOMPONENT4+00@8"ȪkCOMPONENT4K00@8"ȪkCOMPONENT4k00@8"ȪkCOMPONENT4`00@8"ȪkCOMPONENT4000@8"ȪkCOMPONENT400@8"ȪkCOMPONENT0P00@8"ȪkCOMPONENT0 00@8"ȪkCOMPONENT0+00@8"ȪkCOMPONENT0K00@8"ȪkCOMPONENT0k00@8"ȪkCOMPONENT0`00@8"ȪkCOMPONENT0000@8"ȪkCOMPONENT000@8"ȪkCOMPONENT`,P00@8"ȪkCOMPONENT`, 00@8"ȪkCOMPONENT`,+00@8"ȪkCOMPONENT`,K00@8"ȪkCOMPONENT`,k00@8"ȪkCOMPONENT`,`00@8"ȪkCOMPONENT`,000@8"ȪkCOMPONENT`,00@8"ȪkCOMPONENT0)P00@8"ȪkCOMPONENT0) 00@8"ȪkCOMPONENT0)+00@8"ȪkCOMPONENT0)K00@8"ȪkCOMPONENT0)k00@8"ȪkCOMPONENT0)`00@8"ȪkCOMPONENT0)000@8"ȪkCOMPONENT0)00@8"ȪkCOMPONENT5%P00@8"ȪkCOMPONENT5% 00@8"ȪkCOMPONENT5%+00@8"ȪkCOMPONENT5%K00@8"ȪkCOMPONENT5%k00@8"ȪkCOMPONENT5%`00@8"ȪkCOMPONENT5%000@8"ȪkCOMPONENT5%00@8"ȪkCOMPONENTT!P00@8"ȪkCOMPONENTT! 00@8"ȪkCOMPONENTT!+00@8"ȪkCOMPONENTT!K00@8"ȪkCOMPONENTT!k00@8"ȪkCOMPONENTT!`00@8"ȪkCOMPONENTT!000@8"ȪkCOMPONENTT!00@8"ȪkCOMPONENTtP00@8"ȪkCOMPONENTt 00@8"ȪkCOMPONENTt+00@8"ȪkCOMPONENTtK00@8"ȪkCOMPONENTtk00@8"ȪkCOMPONENTt`00@8"ȪkCOMPONENTt000@8"ȪkCOMPONENTt00@8"ȪkCOMPONENTpP00@8"ȪkCOMPONENTp 00@8"ȪkCOMPONENTp+00@8"ȪkCOMPONENTpK00@8"ȪkCOMPONENTpk00@8"ȪkCOMPONENTp`00@8"ȪkCOMPONENTp000@8"ȪkCOMPONENTp00@8"ȪkCOMPONENT@P00@8"ȪkCOMPONENT@ 00@8"ȪkCOMPONENT@+00@8"ȪkCOMPONENT@K00@8"ȪkCOMPONENT@k00@8"ȪkCOMPONENT@`00@8"ȪkCOMPONENT@000@8"ȪkCOMPONENT@00@8"ȪkCOMPONENTP00@8"ȪkCOMPONENT 00@8"ȪkCOMPONENT+00@8"ȪkCOMPONENTK00@8"ȪkCOMPONENTk00@8"ȪkCOMPONENT`00@8"ȪkCOMPONENT000@8"ȪkCOMPONENT00@$PINDEFAULTOE0A$PINBUSB[0..7]0Ap_$PINDEFAULTQ00A@$PINDEFAULTQ10A$PINDEFAULTQ20A$PINDEFAULTQ30A$PINDEFAULTQ40A$PINDEFAULTQ50AP$PINDEFAULTQ60A >$PINDEFAULTQ7 >$PINDEFAULTCASCADE$PINDEFAULTCLKIN?$PINDEFAULTHOLDp_$PINDEFAULTTRIGP$PINDEFAULTCLKOUT{*DEVICE} {ACTIVE=PATTGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,504} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDATA="",HIDDEN STRING} {MODDLL="VSM Model DLL",READONLY STRING} {CLOCKRATE="Clock Rate",STRING} {TRIGGERRATE="Reset Rate",STRING} {CLOCKMODE="Clock Mode",KWDLIST,3,0="Internal",1="External Pos Edge",2="External Neg Edge"} {TRIGGERMODE="Reset Mode",KWDLIST,5,0="Internal",1="Async External Pos Edge",2="Sync External Pos Edge",3="Async External Neg Edge",4="Sync External Neg Edge"} {PERIODSEL="Period Selected",HIDDEN INT} {CLOCKOUTSEL="Clockout Enabled in Internal Mode",YESNO} {OUTPUTMODE="Output Configuration",KWDLIST,3,0="Output to Both Pins and Bus",1="Output to Pins Only",2="Output to Bus Only"} {PROGRAM="Pattern Generator Script",FILENAME,FALSE,,Pattern Generator Script/*.PTN} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDATA=1024,0} {MODDLL=PATTGEN.DLL} {CLOCKRATE=1.200kHz} {TRIGGERRATE=500.0mHz} {CLOCKOUTSEL=0} {TRIGGERMODE=0} {CLOCKMODE=0} {OUTPUTMODE=0} {PACKAGE=NULL} $ISIGNAL GENERATORѕF@8"ȪȪwCOMPONENT@p_ A80"`OȪaCOMPONENT?|HLD"ȪȪCOMPONENT`n"x 0x LD"ȪȪCOMPONENTH`Hn=x 0x LD"ȪȪCOMPONENTH`HSRH`HLD"ȪȪCOMPONENTx `x SmH`H<4"ȪȪCOMPONENTp<4"ȪȪCOMPONENTHp<4"ȪȪCOMPONENTHpH<4"ȪȪCOMPONENTHx p<4"ȪȪCOMPONENT@0X<4"ȪȪCOMPONENT0X`(<4"ȪȪCOMPONENT`(x @<4"ȪȪCOMPONENTO/<4"ȪȪCOMPONENT/H/<4"ȪȪCOMPONENTH/HO<4"ȪȪCOMPONENTHOx O<4"ȪȪCOMPONENTx Ox /<4"wȪȪxCOMPONENT?@M ?80"`OȪ`COMPONENT<4"wȪȪxCOMPONENT80"`OȪ`COMPONENT@<4"wȪȪxCOMPONENT@kc"ȪȪCOMPONENTp_O ArialAMkc"ȪȪCOMPONENTO ArialFMjb"ȪȪCOMPONENT ` Arial+jb"ȪȪCOMPONENT ? Arial-04$MKRORIGIN p_p_$PINSHORTA p_$PINSHORTF `$PINSHORT+ ?$PINSHORT-{*DEVICE} {ACTIVE=SIGGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,503} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {*INDEX} {*COMPONENT} {MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} {PACKAGE=NULL} $ISPI DEBUGGERѕF)(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENTO/ 04$MKRORIGINld"ȪȪ{COMPONENT)  ArialSPI`$PINDEFAULTDIN0$PINDEFAULTDOUT$PINDEFAULTSCK$PINDEFAULT$SS$?$PINDEFAULTTRIGE{*DEVICE} {ACTIVE=SPI_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,509} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="SPI Mode",KWDLIST,3,Monitor,Master,Slave} {CLOCKFREQ="Master clock frequency in Hz",FLOAT,PNZ} {IDLESTATE="SCK Idle state is",HILOW} {SAMPLEEDGE="Sampling edge",KWDLIST,2,Idle to active,Active to idle} {BITORDER="Bit order",KWDLIST,2,MSB first,LSB first} {WORDLENGTH="Word length",ADVANCED INT,1,16} {STOPONEMPTY="Stop on output buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {LOOPBACK="Loopback mode",ADVANCED BOOL} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=SPI Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=spidebug.dll} {AUTOLOAD=0} {MODE=Monitor} {CLOCKFREQ=1000000} {IDLESTATE=0} {SAMPLEEDGE=Idle to active} {BITORDER=MSB first} {WORDLENGTH=8} {STOPONEMPTY=1} {LOOPBACK=0} {PACKAGE=NULL} $IVIRTUAL TERMINALѕF@8"ȪȪwCOMPONENT@@.@8"8cȪmCOMPONENT@p_ A04$MKRORIGIN@8"ȪfCOMPONENTXo` $PINDEFAULTRXD$PINDEFAULTRTS0$PINDEFAULTTXDp_$PINDEFAULTCTSE{*DEVICE} {HELP=INSTRUMENTS>POPUP,506} {*PROPDEFS} {PRIMITIVE="Simulator Primitive",HIDDEN STRING} {MODDLL="VSM Model",HIDDEN STRING} {BAUDRATE="Baud Rate",KWDEDIT,9,110,300,1200,2400,4800,9600,19200,38400,57600} {DATABITS="Data Bits",KWDLIST,2,7,8} {PARITY="Parity",KWDLIST,3,NONE,EVEN,ODD} {STOPBITS="Stop Bits",KWDLIST,2,1,2} {XONXOFF="Send XON/XOFF",YESNO} {SIGPOL="RX/TX Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {CTLPOL="RTS/CTS Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {PACKAGE="PCB Package",PACKAGE} {TRACE="Trace Events",ADVANCED TRACEMODE} {*INDEX} {CAT=Virtual Instruments} {SUBCAT=} {MFR=} {DESC=Virtual RS232 / teletype terminal.} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=VTERM.DLL} {BAUDRATE=9600} {DATABITS=8} {PARITY=NONE} {STOPBITS=1} {XONXOFF=0} {SIGPOL=0} {CTLPOL=0} {PACKAGE=NULL} {TRACE=1} ADC0801-OK`Y|@8"ȪȪCOMPONENT@.pb204$MKRORIGIN]$PINDEFAULTVIN+6}$PINDEFAULTVIN-7$PINDEFAULTVREF/29@$PINDEFAULTCLK IN4$PINDEFAULTA GND8?$PINDEFAULT$RD2p_$PINDEFAULT$WR3$PINDEFAULT$INTR5$PINDEFAULT$CS1$PINDEFAULTD GND10@.P$PINDEFAULTDB7(MSB)11@.$PINDEFAULTDB612@.$PINDEFAULTDB513@.$PINDEFAULTDB414@.$PINDEFAULTDB315@.@$PINDEFAULTDB216@.p_$PINDEFAULTDB117@.?$PINDEFAULTDB0(LSB)18P$PINDEFAULTCLK R19@.$PINDEFAULTVCC20{*DEVICE} {PREFIX=U} {DATA=pdfs/national/ADC080X.pdf} {*PROPDEFS} {PACKAGE="PCB Package",PACKAGE,1,DIL20} {ITFMOD="Interface Model",HIDDEN STRING} {INTCLK="Internal Clock",ADVANCED YESNO} {CLK="Clock Value",ADVANCED STRING} {MODFILE="LISA Model File",READONLY STRING} {*INDEX} {CAT=Data Converters} {MFR=National Semiconductor} {SUBCAT=A/D Converters} {DESC=8-Bit Microprocessor Compatible ADC (8-bit, 100us conversion)} {*COMPONENT} {PACKAGE=DIL20} {ITFMOD=ADC080XITF} {INTCLK=1} {CLK=640k} {MODFILE=ADC080X.MDF} ADC0804-OK`Y|@8"ȪȪCOMPONENT@.pb204$MKRORIGIN]$PINDEFAULTVIN+6}$PINDEFAULTVIN-7$PINDEFAULTVREF/29@$PINDEFAULTCLK IN4$PINDEFAULTA GND8?$PINDEFAULT$RD2p_$PINDEFAULT$WR3$PINDEFAULT$INTR5$PINDEFAULT$CS1$PINDEFAULTD GND10@.P$PINDEFAULTDB7(MSB)11@.$PINDEFAULTDB612@.$PINDEFAULTDB513@.$PINDEFAULTDB414@.$PINDEFAULTDB315@.@$PINDEFAULTDB216@.p_$PINDEFAULTDB117@.?$PINDEFAULTDB0(LSB)18P$PINDEFAULTCLK R19@.$PINDEFAULTVCC20{*DEVICE} {PREFIX=U} {DATA=pdfs/national/ADC080X.pdf} {*PROPDEFS} {PACKAGE="PCB Package",PACKAGE,1,DIL20} {ITFMOD="Interface Model",HIDDEN STRING} {INTCLK="Internal Clock",ADVANCED YESNO} {CLK="Clock Value",ADVANCED STRING} {MODFILE="LISA Model File",READONLY STRING} {*INDEX} {CAT=Data Converters} {MFR=National Semiconductor} {SUBCAT=A/D Converters} {DESC=8-Bit Microprocessor Compatible ADC (8-bit, 100us conversion)} {*COMPONENT} {PACKAGE=DIL20} {ITFMOD=ADC080XITF} {INTCLK=1} {CLK=640k} {MODFILE=ADC080X.MDF} CAP]Iv,<4"ȪȪCOMPONENT)0)<4"ȪȪCOMPONENTX0X<4"ȪȪCOMPONENTX<4"ȪȪCOMPONENT)004$MKRORIGIN0$PINSHORT2$PINSHORT1{*DEVICE} {PREFIX=C} {HELP=MODELS>POPUP,122} {*PROPDEFS} {PINSWAP="Pin Swap List",HIDDEN STRING} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {VALUE="Capacitance",FLOAT,PNZ} {PACKAGE="PCB Package",PACKAGE,2,CAP10,CAP20} {*INDEX} {CAT=Capacitors} {SUBCAT=Generic} {DESC=Generic non-electrolytic capacitor} {*COMPONENT} {VALUE=1nF} {PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=CAP10} *PINOUT CAP10 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT CAP20 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} CELL]I$:<4"ȪȪCOMPONENTH/<4"ȪȪCOMPONENT<4"ȪȪCOMPONENT04 $MKRORIGIN $PINSHORT+  $PINSHORT-{*DEVICE} PREFIX=BAT {*PROPDEFS} {PRIMITIVE="Simulator Primitive Type",READONLY STRING} {VALUE="Voltage",FLOAT,PNZ} {*INDEX} {CAT=Miscellaneous} {DESC=Battery (single-cell)} {*COMPONENT} {VALUE=1.5V} {PRIMITIVE=ANALOGUE,BATTERY} LOGICTOGGLEKT~`"ȪȪCOMPONENTO0O00OO0jb"ȪȪCOMPONENT/ `Default Font?04$MKRORIGIN040$MKRTOGGLE$PINDEFAULTQ0LS_0$~`"ȪcCOMPONENTO0O00OO0jb"ȪȪ{COMPONENT/ `Arial004$MKRORIGINLS_1$~`"ȪcCOMPONENTO0O00OO0jb"ȪȪ{COMPONENT/ `Arial104$MKRORIGIN7{*DEVICE} ACTIVE=LS,2 {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {STATE="Initial State",HILOW} {PACKAGE=PCB Package,HIDDEN PACKAGE} {*INDEX} {CAT=Debugging Tools} {SUBCAT=Logic Stimuli} {DESC=Logic State Source (Momentary Action)} {*COMPONENT} {PRIMITIVE=DIGITAL,RTDSTATE} {STATE=0} {PACKAGE=NULL} POT-HGK-  @8"ȪȪCOMPONENT s/ @64PIN?/64PINH`<4"ȪȪCOMPONENT9<4"ȪȪCOMPONENTp64PIN004$MKRORIGIN04`YhC$MKRINCREMENT04`Y$MKRDECREMENT ?$PINSHORT1 `$PINSHORT2 0$PINSHORT3POT_0@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN/Hi64PIN/(64PIN/0/04$MKRORIGIN64PIN0/0POT_1@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN`Y64PIN`Y64PIN`Y0`Y04$MKRORIGIN64PIN0`Y0POT_2@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN64PINxI64PIN004$MKRORIGIN64PIN00POT_3@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN@64PIN s64PIN004$MKRORIGIN64PIN00POT_4@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PINX64PINXȜ64PINX0X04$MKRORIGIN64PIN0X0POT_5@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN964PINp64PIN004$MKRORIGINPOT_6@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN)64PIN)8c64PIN)0)04$MKRORIGIN64PIN0)0POT_7@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINPS64PINPS64PINPS0PS04$MKRORIGIN64PIN0PS0POT_8@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN|hC64PIN|64PIN|0|04$MKRORIGIN64PIN0|0POT_9@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINm64PIN064PIN004$MKRORIGIN64PIN00POT_10@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINH64PINH 64PINH0H04$MKRORIGIN64PIN0H0POT_11|@8"ȪȪCOMPONENT s/ @04$MKRORIGIN{*DEVICE} {PREFIX=RV} {ACTIVE=POT,12,DLL} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {VALUE="Resistance",FLOAT,PNZ} {LAW="Law Type",KWDLIST,3,0="LINEAR",1="LOG",2="ANTILOG"} {RMIN="Minimum Resistance",ADVANCED FLOAT,PNZ} {TSWITCH="Switching Time",ADVANCED FLOAT,PNZ} {POS="Current Position",HIDDEN INT,0,100} {STATE="Active State",HIDDEN STRING} {DCPATH="DC Path List",HIDDEN STRING} {*INDEX} {CAT=Resistors} {SUBCAT=Variable} {MFR=} {DESC=High Granularity Interactive Potentiometer (Lin, Log or Antilog Law)} {*COMPONENT} {VALUE=1k} {PRIMITIVE=ANALOG,POT} {MODDLL=HGPOT.DLL} {LAW=0} {RMIN=0.1} {TSWITCH=1ms} {POS=50} {STATE=5} {DCPATH=*} RES]I|@8"ȪȪCOMPONENT @04$MKRORIGIN$PINSHORT1`$PINSHORT2{*DEVICE} {PREFIX=R} {HELP=MODELS>POPUP,100} {*PROPDEFS} {VALUE="Resistance",FLOAT,PNZ} {PRIMITIVE="Model Type",KWDLIST,2,ANALOG,DIGITAL} {PRIMTYPE="Primitive Type",HIDDEN STRING} {PINSWAP="Pin Swap List",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,8,0402,0603,0805,1206,RES40,RES50,RES60,RES90} {*INDEX} {CAT=Resistors} {SUBCAT=Generic} {DESC=Generic resistor symbol} {*COMPONENT} {VALUE=10k} {PRIMITIVE=ANALOG} {PRIMTYPE=RESISTOR} {PACKAGE=RES40} *PINOUT 0402 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 0603 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 0805 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 1206 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES40 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES50 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES60 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES90 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} ISIS CIRCUIT FILE MOBJECT DATAU1H2H Default FontCOMPONENT IDADC080450 Default FontCOMPONENT VALUEADC0804x!10 Default FontSUBCKT NAME/{PACKAGE=DIL20} {ITFMOD=ADC080XITF} {INTCLK=1} x!00 Default FontPROPERTIESP{PACKAGE=DIL20} {ITFMOD=ADC080XITF} {INTCLK=1} {CLK=640k} {MODFILE=ADC080X.MDF} ADC0804p_xG ^ 7   Xr0 $TERGROUND >R4 40 Default FontTERMINAL LABEL7 @WIREXr0Xr0Xr0 DU $TERPOWER (,]40 Default FontTERMINAL LABEL @WIRE*HCXr0HCXr0cQhb M5083ED66$DCLOCK0U1($WR)@h50 Default FontGENERATOR LABEL,{INIT=LOW} {START=0} {COUNT=-1} {CLOCK=1.0} @f00 Default FontPROPERTIEST{INIT=LOW} {START=0} {COUNT=-1} {CLOCK=1000} {MANUALEDITS=FALSE} {HIDDENPROPS=TRUE} <^   @WIRE2828<@WIREhbb<@WIRE<<RV142H Default FontCOMPONENT ID1k50 Default FontCOMPONENT VALUEPOT-HG10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOG,POT} {MODDLL=HGPOT.DLL} {LAW=0} 00 Default FontPROPERTIESi{PRIMITIVE=ANALOG,POT} {MODDLL=HGPOT.DLL} {LAW=0} {RMIN=0.1} {TSWITCH=1ms} {POS=50} {STATE=5} {DCPATH=*} POT-HGH(  @WIRE((RV2]2H Default FontCOMPONENT ID1k]50 Default FontCOMPONENT VALUEPOT-HG]p10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOG,POT} {MODDLL=HGPOT.DLL} {LAW=0} ]p00 Default FontPROPERTIESi{PRIMITIVE=ANALOG,POT} {MODDLL=HGPOT.DLL} {LAW=0} {RMIN=0.1} {TSWITCH=1ms} {POS=70} {STATE=7} {DCPATH=*} POT-HG8. ,R@WIREhh HQ $TERGROUND  40 Default FontTERMINAL LABEL@WIREHhH818.? $TERGROUND N@40 Default FontTERMINAL LABEL,@WIRE8.8.H< $TERPOWER HC40 Default FontTERMINAL LABEL@WIREHR4H288. $TERPOWER N&40 Default FontTERMINAL LABELR@WIRE8. A8.P! %x"ȪȪ{COMPONENT Default FontCblage minimal d'un Convertisseur Analogique Numrique ADC0804 ;("ȪȪCOMPONENT HDefault FontRglage de la rsolution (Vref/2) ,x |t"ȪȪCOMPONENT HDefault FontTension convertir ` >"ȪȪ{COMPONENT HDefault FontL'horloge doit arriver sur les entres /WR et CLK IN <<"ȪȪ{COMPONENT HDefault FontLes entres /CS /RD D GND et VIN- peuvent rester non connectes ou tre relies la masse }"ȪȪ{COMPONENT HDefault FontLa masse est obligatoire sur l'entre A GND h "ȪȪ{COMPONENT HDefault FontL'entre Vref/2 peut rester non connecte : dans ce cas elle vaut Vcc/2 8+@|"ȪȪ{COMPONENT HDefault FontL'entre /INTR peut rester non connecte ou tre relie l'horloge (໪"ȪȪ{COMPONENT HDefault FontL'entre CLK R reste non connecte : si CLK R=0 toutes les sorties sont forces 0 "ȪȪ{COMPONENT `Default FontPrcisions sur le cblage de l'ADC0804 dans ISIS Proteus : hc0ڇum"ȪȪCOMPONENT \HDefault FontOctobre 2012 8+"ȪȪCOMPONENT \ Default FontRetrouvez d'autre montages ISIS Proteus sur le site www.gecif.net 9|"ȪȪCOMPONENT \HDefault FontRalis par Jean-Christophe MICHEL `"ȪȪ{COMPONENT HDefault FontLa tension convertir arrive sur l'entre VIN+ISIS CIRCUIT FILE MOBJECT DATACCT000 __DEFAULT__ROOT10U1 M5083ED66 RV1 RV2CCT000 __DEFAULT__ __DEFAULT__ ADC080X.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\NATDAC\ADC080x\ADC080x.DSN Doc. no.: Revision: Author: Created: 28/01/04 Modified: 26/06/06 *PROPERTIES,1 R=16k *MAPPINGS,5,VALUE ADC0801 : R = 16k ADC0802 : R = 16k ADC0803 : R = 16k ADC0804 : R = 2.2k ADC0805 : R = 16k *MODELDEFS,1 ADC080XITF : RHI=50, RLO=5,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=D GND,FLOAT=LOW *PARTLIST,18 ADC1,ADC_8,ADC_8,MODDLL=DATAC,MODE=UNSIGNED,PRIMITIVE=PASSIVE,TDHLCD=0,TDHZOD=200n,TDLHCD=0,TDLZOD=200n,TDZHOE=200n,TDZLOE=200n E1,VCVS,2.0,PRIMITIVE=ANALOGUE E2,VCVS,1.0,PRIMITIVE=ANALOGUE G40197656,DIGITAL!,U11(D0),COUNT=*-1,HIDDENPROPS=TRUE,INIT=LOW,MANUALEDITS=TRUE,PRIMITIVE=PROBE,START=0.00,WIDTH=0.5/*8 M40197656,VPROBE,U11(D0),PRIMITIVE=PROBE R1,RESISTOR,,PRIMITIVE=ANALOGUE R2,RESISTOR,,PRIMITIVE=ANALOGUE U1,DTFF,DTFF,PRIMITIVE=DIGITAL U2,NOR_2,NOR_2,PRIMITIVE=DIGITAL U3,AND_2,AND_2,PRIMITIVE=DIGITAL U4,DTFF,DTFF,PRIMITIVE=DIGITAL U5,SHIFTREG_8,SHIFTREG_8,ALOAD=1,ARESET=1,INIT=0,INVERT="UP,OE",PRIMITIVE=DIGITAL U6,NOR_2,NOR_2,PRIMITIVE=DIGITAL,TDHLDQ=135n,TDLHDQ=135n U7,DTFF,DTFF,PRIMITIVE=DIGITAL U8,OR_2,OR_2,PRIMITIVE=DIGITAL,TDLHDQ=450n U9,DTFF,DTFF,PRIMITIVE=DIGITAL U10,DIVIDER,DIVIDER,PRIMITIVE=DIGITAL,RATIO=8,TDCQ=0,TDRQ=0,TGQ=0 U11,OR_2,OR_2,PRIMITIVE=DIGITAL *NETLIST,53 #00000,3 G40197656,OP,* M40197656,IP,* U11,IP,D0 #00001,2 ADC1,IP,VIN E2,PS,+ #00002,2 ADC1,IP,VREF+ E1,PS,+ #00003,4 ADC1,IP,CLK ADC1,IP,HOLD U9,OP,Q U7,IP,SET #00004,3 ADC1,IP,OE U6,OP,Q U8,IP,D1 #00005,6 U1,IP,CLK U3,IP,D0 U5,IP,CLK U9,IP,CLK U4,IP,CLK U11,OP,Q #00006,1 U1,IP,D #00007,3 U1,OP,Q U4,IP,D U5,IP,RESET #00008,1 U1,OP,!Q #00009,2 U1,IP,RESET U3,OP,Q #00010,2 U1,IP,SET U2,OP,Q #00011,5 U3,IP,D1 U4,OP,Q U5,IP,DL U5,IP,D0 U8,IP,D0 #00012,1 U4,OP,!Q #00013,1 U4,IP,RESET #00014,1 U4,IP,SET #00015,1 U5,OP,Q0 #00016,1 U5,OP,Q1 #00017,1 U5,OP,Q2 #00018,1 U5,OP,Q3 #00019,1 U5,OP,Q4 #00020,1 U5,OP,Q5 #00021,1 U5,OP,Q6 #00022,1 U5,OP,Q7 #00023,2 U5,OP,QU U9,IP,D #00024,1 U5,OP,QL #00025,1 U5,IP,LOAD #00026,1 U7,IP,CLK #00027,1 U7,IP,D #00028,1 U7,OP,Q #00029,2 U7,IP,RESET U8,OP,Q #00030,1 U9,OP,!Q #00031,1 U9,IP,RESET #00032,1 U9,IP,SET #00033,2 U10,OP,Q U11,IP,D1 A GND,6 A GND,IT ADC1,IP,VREF- E1,PS,N R2,PS,1 E1,PS,- E2,PS,- DB0(LSB),2 DB0(LSB),OT ADC1,OP,D0 DB1,2 DB1,OT ADC1,OP,D1 DB2,2 DB2,OT ADC1,OP,D2 DB3,2 DB3,OT ADC1,OP,D3 DB4,2 DB4,OT ADC1,OP,D4 DB5,2 DB5,OT ADC1,OP,D5 DB6,2 DB6,OT ADC1,OP,D6 DB7(MSB),2 DB7(MSB),OT ADC1,OP,D7 $CS,3 $CS,IT U2,IP,D0 U6,IP,D0 $WR,2 $WR,IT U2,IP,D1 $RD,2 $RD,IT U6,IP,D1 $INTR,2 $INTR,OT U7,OP,!Q VREF/2,4 VREF/2,IT E1,PS,P R1,PS,1 R2,PS,2 VIN+,2 VIN+,IT E2,PS,P VIN-,2 VIN-,IT E2,PS,N +5V,2 +5V,PT R1,PS,2 CLK R,3 CLK IN,IT CLK R,OT U10,IP,CLK GND,13 GND,PT U10,IP,RST U5,IP,D2 U5,IP,D1 U5,IP,D5 U5,IP,D6 U5,IP,D7 U5,IP,DU U5,IP,HOLD U5,IP,OE U5,IP,UP U5,IP,D4 U5,IP,D3 *GATES,0 ITFMOD.MDFLISA MODEL DESCRIPTION FORMAT 5.0 ================================= Design: K:\Prodev\Spice\ITFMOD.DSN Doc. no.: Revision: Author: Created: 18/03/98 Modified: 23/06/05 *MODELDEFS,18 CMOS : RHI=100,RLO=100,TRISE=1u,TFALL=1u,V+=VDD,V-=VSS NMOS : RHI=100,RLO=10,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND TTL : RHI=50, RLO=5,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH TTLHC : RHI=5,RLO=5, V+=VCC,V-=GND TTLHCT : RHI=5,RLO=5, V+=VCC,V-=GND TTLLS : RHI=100, RLO=10,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=12k,RNEG=50k, V+=VCC,V-=GND,FLOAT=HIGH TTLS : RHI=25, RLO=2.5,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,TRISE=0.5n,TFALL=0.5n, V+=VCC,V-=GND,FLOAT=HIGH PLD : RHI=20,RLO=20, V+=VCC,V-=GND,FLOAT=HIGH PIC : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n PIC3V3 : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.1,VTH=1.5,VHH=0.1,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n 8051 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=10n,TFALL=10n AT89 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,RPOS=5k,RNEG=20k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=10n,TFALL=10n HC11 : RHI=20, RLO=20,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n AVR : RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=10n,TFALL=10n MSP430 : RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=10n,TFALL=10n PICCOLO: RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VCC,V-=GND,TRISE=1n,TFALL=1n LPC2100: RSHI=20, RSLO=20,RWHI=100k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=V3,V-=VSS BSTAMP : RSHI=20, RSLO=20,RWHI=20k,VUD=2,VTL=0.8,VHL=0.2,VTH=2.5,VHH=0.2,V+=VDD,V-=VSS,TRISE=10n,TFALL=10n RS232 : VLO=-8.5,VHI=8.5,RHI=300,RLO=300,VTL=1.2,VHL=0.5,VTH=1.7,VHH=0.5,RPOS=5k,RNEG=5k,V+=VCC,V-=GND,FLOAT=HIGH,TRISE=1u,TFALL=1u ???@ư>1- ABSTOL1e-12 BADMOS3No BYPASSYes CHGTOL1e-14DEFAD0DEFAS0 DEFAULTS0 DEFL0.0001 DEFW0.0001 GMIN1e-18GMINSTEPS120 ITL1100ITL250ITL450 LOGSTART0 LOGTIME60 MAXORD2METHODTRAPEZOIDAL NOOPITERNo NUMSTEPS50 OLDLIMITNo PIVREL0.001 PIVTOL1e-13 RELTOL0.01 RSHUNT1e+12 SRCSTEPS120 TDLOWER0.9 TDSCALE1 TDUPPER1.1TEMP27 TMIN1e-12TNOM27TRACE_CONTENTIONS1,0TRACE_CONVERGENCE1,1TRACE_DCPATHS1,2TRACE_ITFMODS1,3TRACE_NETTYPES1,4TRACE_OPTIONS1,5TRACE_PERFORMANCE1,6TRACE_SPICELINK1,7TRANGMIN1e-18TRTOL7TRYTOCOMPACTNo TTOL1e-09 VNTOL1e-06@v6>pYx`k&0