ISIS SCHEMATIC FILEy DesignplateDS kjh\ kjdg\bJS09/02/1129/01/12}RM%O * DESIGN CONFIGURATION DATAArialDefault Font p M M ``@@@@ COMPONENTȪȪPINPORT@MARKER`O@ACTUATOR`O@@ INDICATOR`O@@VPROBE`OIPROBE`OTAPE`O GENERATOR`OTERMINAL@ SUBCIRCUIT=ȪȪ 2D GRAPHICWIRE DOT@@@WIRE@BUS WIREPSBORDERȪȪTEMPLATE COMPONENT ID&H Default FontCOMPONENT VALUE&0 Default Font PROPERTIES&0 Default FontTERMINAL LABEL&0 Default Font WIRE LABEL&0 Default Font SUBCKT ID&H Default Font SUBCKT NAME&0 Default FontSCRIPT&0 Default FontPIN NAME&0 Default Font PIN NUMBER&0 Default Font VPROBE LABEL&0 Default Font IPROBE LABEL&0 Default FontGENERATOR LABEL&0 Default Font TAPE LABEL&0 Default FontACTIVE READOUT0Arial]PWRRAILS{*RAILS} {GND=0,POWER} {VCC/VDD=12,POWER} {VEE=-5,POWER} {*BINDINGS} {VCC=VCC/VDD} $DCGEN޳3z j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN04H$MKRLABEL<4"`OGENERATOR|p|<4"`OGENERATOR|PS|<4"`OGENERATOR|0|<4"`OGENERATOR|m|<4"`OGENERATORPSPS<4"`OGENERATOR3||$DCLOCK0a"6. j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN<4"`OGENERATOR))<4"`OGENERATOR)m<4"`OGENERATORmm<4"`OGENERATORm)<4"`OGENERATOR))<4"`OGENERATOR)m<4"`OGENERATORm3m<4"`OGENERATOR3m3)<4"`OGENERATOR3)(])04آH$MKRLABEL$IPROBE޳3g``OIPROBEHHHH00HH50`OIPROBEhC94`OIPROBEXmhC94`OIPROBEhCX94`OIPROBE04`H$MKRLABEL04$MKRORIGIN94`OIPROBEhChC $MKRBUSNODE޳3I=8`O@MARKER9p s $MKRDECREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATOR9p $MKRINCREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATORpȜ8c;4!`O@@ACTUATORp8c8c;4!`O@@ACTUATORp9 $MKRLABEL޳304$MKRORIGINkf`O@MARKER0Default FontLABEL$MKRNODE޳3~94`O@MARKER9pp994`O@MARKER99pp $MKRORIGIN{3=8`O@MARKER9p s94`O@MARKER s94`O@MARKER s $MKRPINNAME޳304$MKRORIGINje`O@MARKER0Default FontNAME $MKRPINNUM޳304$MKRORIGINhc`O@MARKER/0Default Font99$PINBUS޳304$MKRORIGIN04?$MKRBUSNODE04$MKRPINNAME $PINDEFAULT޳3264PIN?04$MKRORIGIN04?$MKRNODE04$MKRPINNUM04$MKRORIGIN04$MKRPINNAME $PINPOSCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINSHORT޳364PIN04$MKRPINNUM04$MKRNODE04$MKRORIGIN04$MKRORIGIN $PULSEGEN޳3> j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN04H$MKRLABEL<4"`OGENERATORp<4"`OGENERATORpm<4"`OGENERATORmmm<4"`OGENERATORmm3<4"`OGENERATOR3$TAPE߳3v'e``OTAPE9p3939pm9330`OTAPEm30`OTAPE|m74`OTAPE 74`OTAPE(PS74`OTAPEm m74`OTAPEm PS74`OTAPEm  H74`OTAPE (H74`OTAPE(PS`Y74`OTAPE PS30`OgTAPEmp30`OgTAPE|mp74`OTAPE#(#74`OTAPE##74`OTAPExI##74`OTAPEX#Ȝ#74`OTAPE8c#)#74`OTAPE##74`OTAPE|#hC#74`OTAPE #m#74`OTAPEІ74`OTAPEHiІ74`OTAPEHi)74`OTAPE)74`OTAPEІ74`OTAPEHi)()74`OTAPE) )74`OTAPEІ74`OTAPE`Y74`OTAPEHi04$MKRORIGIN74`OTAPE)X)74`OTAPE))|)74`OTAPEX3X74`OTAPEXHi s74`OTAPE3X s04x `$MKRLABEL04$MKRORIGIN $TERBIDIR߳3{i`!@TERMINAL s0 s0;4!@TERMINAL;4!@TERMINAL s04$MKRORIGIN04$MKRNODE04H$MKRLABEL$TERBUS߳3i`!@TERMINAL040$MKRBUSNODE04$MKRORIGIN04$MKRLABEL $TERDEFAULT߳370!@TERMINAL;4!@TERMINAL90040$MKRNODE04$MKRORIGIN04/$MKRLABEL $TERGROUND߳3;4!@TERMINAL0;4!@TERMINAL0;4!@TERMINAL;4!@TERMINALȜ8c04$MKRORIGIN040$MKRNODE04?$MKRLABEL $TERINPUT߳3@i`!@TERMINAL s s;4!@TERMINAL004t$MKRORIGIN040t$MKRNODE04/$MKRLABEL $TEROUTPUT߳3@i`!@TERMINAL s0 s0;4!@TERMINAL04H$MKRLABEL04$MKRNODE04$MKRORIGIN $TERPOWER߳3@i`!@TERMINAL00;4!@TERMINAL004$MKRNODE04$MKRORIGIN04`$MKRLABEL$VPROBE߳3 g``OVPROBEHHHH00HH94`OVPROBE04`H$MKRLABEL04$MKRORIGIN $IAC AMMETERѕF3 v 80"ȪȪCOMPONENT`ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGINIMETER_3nf"ȪȪCOMPONENT ArialAC mA04$MKRORIGINIMETER_404$MKRORIGINnf"ȪȪCOMPONENT ArialAC A_{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=ACIMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $IAC VOLTMETERѕF7 G80"ȪȪCOMPONENT`qi"ȪȪCOMPONENT ArialAC Volts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2qi"ȪȪCOMPONENT ArialAC Volts04$MKRORIGINVMETER_3nf"ȪȪCOMPONENT ArialAC mV04$MKRORIGIN04$MKRORIGINVMETER_4nf"ȪȪCOMPONENT ArialAC V04$MKRORIGIN04$MKRORIGIN{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=ACVMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $ICOUNTER TIMERѕFT$k"  ;@8"ȪȪCOMPONENT@04$MKRORIGIN@8"Ȫ`COMPONENT Xo1 <4"ȪȪxCOMPONENT p<4"ȪȪxCOMPONENTp- 8 آ<4"ȪȪxCOMPONENTp- ? ?<4"ȪȪxCOMPONENTpآ<4"ȪȪxCOMPONENT8 hg p_<4"ȪȪxCOMPONENTph p_<4"ȪȪxCOMPONENT8 8h8<4"ȪȪxCOMPONENT` A<4"ȪȪxCOMPONENTآ<4"ȪȪxCOMPONENT??<4"ȪȪxCOMPONENTX Aآ<4"ȪȪxCOMPONENThH7p_<4"ȪȪxCOMPONENT Ahp_<4"ȪȪxCOMPONENT8z8<4"ȪȪxCOMPONENT81h<4"ȪȪxCOMPONENTjآ<4"ȪȪxCOMPONENT?0?<4"ȪȪxCOMPONENTthآ<4"ȪȪxCOMPONENTjhp_<4"ȪȪxCOMPONENThh0p_<4"ȪȪxCOMPONENTj8J8<4"ȪȪxCOMPONENT"<4"ȪȪxCOMPONENTH;آ<4"ȪȪxCOMPONENTH?x~"?<4"ȪȪxCOMPONENTD#"آ<4"ȪȪxCOMPONENT;hp_<4"ȪȪxCOMPONENT"hx~"p_<4"ȪȪxCOMPONENT;8@"8<4"ȪȪxCOMPONENT$(<4"ȪȪxCOMPONENTn$X $آ<4"ȪȪxCOMPONENTn$?N(?<4"ȪȪxCOMPONENT0)(آ<4"ȪȪxCOMPONENTX $h #p_<4"ȪȪxCOMPONENT(hN(p_<4"ȪȪxCOMPONENTX $8'8<4"ȪȪxCOMPONENT*@.<4"ȪȪxCOMPONENT>*)آ<4"ȪȪxCOMPONENT>*?.?<4"ȪȪxCOMPONENTx.@.آ<4"ȪȪxCOMPONENT)hhx)p_<4"ȪȪxCOMPONENT@.h.p_<4"ȪȪxCOMPONENT)8л-8<4"ȪȪxCOMPONENTXr0R4<4"ȪȪxCOMPONENT 0/آ<4"ȪȪxCOMPONENT 0?P3?<4"ȪȪxCOMPONENT4R4آ<4"ȪȪxCOMPONENT/hH/p_<4"ȪȪxCOMPONENTR4hP3p_<4"ȪȪxCOMPONENT/838<4"ȪȪxCOMPONENTB6":<4"ȪȪxCOMPONENTh50|5آ<4"ȪȪxCOMPONENTh5?9?<4"ȪȪxCOMPONENT:":آ<4"ȪȪxCOMPONENT0|5h5p_<4"ȪȪxCOMPONENT":h9p_<4"ȪȪxCOMPONENT0|58`\98p_$PINDEFAULTRST$PINPOSCLKCLK?$PINDEFAULTCECTIMER_0<4" FȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_1<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO04$MKRORIGINCTIMER_2<4" FȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_3<4" ȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_4<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENTHmOCTIMER_5<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_6<4" ȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_7<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_8<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_9<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_10x04$MKRORIGIN<4" FȪȪxCOMPONENT33CTIMER_11x04$MKRORIGIN<4" ȪȪxCOMPONENT33{*DEVICE} {ACTIVE=CTIMER,12,DLL} {HELP=INSTRUMENTS>POPUP,505} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="Operating Mode",KWDLIST,4,0="Time (secs)",1="Time (hms)",2="Frequency",3="Count"} {GATEPOL="Count Enable Polarity",KWDLIST,2,0="High",1="Low"} {RSTEDGE="Reset Edge Polarity",KWDLIST,2,0="Low-High",1="High-Low"} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=CTIMER.DLL} {RSTEDGE=0} {GATEPOL=0} {MODE=0} {PACKAGE=NULL} $IDC AMMETERѕF s 80"ȪȪCOMPONENT`me"ȪȪCOMPONENT ArialAmps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2me"ȪȪCOMPONENT ArialAmps04$MKRORIGINIMETER_3kc"ȪȪCOMPONENT ArialmA04$MKRORIGINIMETER_404$MKRORIGINkc"ȪȪCOMPONENT ArialA5{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=DCIMETER} {STATE=2} {PACKAGE=NULL} $IDC VOLTMETERѕF D80"ȪȪCOMPONENT`nf"ȪȪCOMPONENT ArialVolts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2nf"ȪȪCOMPONENT ArialVolts04$MKRORIGINVMETER_3kc"ȪȪCOMPONENT ArialmV04$MKRORIGIN04$MKRORIGINVMETER_4kc"ȪȪCOMPONENT ArialV04$MKRORIGIN04$MKRORIGINg{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} {STATE=2} {PACKAGE=NULL} $II2C DEBUGGERѕF(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENT/?ld"ȪȪ{COMPONENT(] ArialFI2C04$MKRORIGIN`$PINDEFAULTSDA$PINDEFAULTSCL?$PINDEFAULTTRIG{*DEVICE} {ACTIVE=I2C_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,510} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {CLOCKFREQ="Clock frequency in Hz",INT,PNZ} {ADDRESS1="Address byte 1",INT,0,255} {ADDRESS2="Address byte 2",INT,0,255} {STOPONEMPTY="Stop on buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=I2C Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=i2cdebug.dll} {AUTOLOAD=0} {CLOCKFREQ=100000} {STOPONEMPTY=1} {WRAPLENGTH=64} {PACKAGE=NULL} $ILOGIC ANALYSERѕF@8"ȪȪCOMPONENT@ >`E*04$MKRORIGIN@8"8cȪdCOMPONENTȜPP'D#04$MKRORIGIN<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT?&?<4" ȪȪxCOMPONENTp_&p_<4" ȪȪxCOMPONENT@&@<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT00P<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT A AP<4"ȪȪxCOMPONENTP!P!P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT""P@$PINDEFAULTA0@$PINDEFAULTA1@?$PINDEFAULTA2@p_$PINDEFAULTA3@@$PINDEFAULTA4@$PINDEFAULTA5@$PINDEFAULTA6@$PINDEFAULTA7B6$PINDEFAULTA8B6$PINDEFAULTA9B6?$PINDEFAULTA10B6p_$PINDEFAULTA11B6@$PINDEFAULTA12B6$PINDEFAULTA13B6$PINDEFAULTA14B6$PINDEFAULTA15@$PINBUSB0[0..7]@P$PINBUSB1[0..7]B6$PINBUSB2[0..7]B6P$PINBUSB3[0..7]){*DEVICE} {ACTIVE=DLA,0,DLL} {HELP=INSTRUMENTS>POPUP,502} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instrument} {SUBCAT=} {MFR=} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=DLA} {PACKAGE=NULL} $IOSCILLOSCOPEѕF!1k@8"ȪȪwCOMPONENT@"@8"8c0ȪhCOMPONENTOOQ`<4"ȪȪxCOMPONENTO0 0<4"ȪȪxCOMPONENTO` `<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENT0x 0O<4"ȪȪxCOMPONENTHx HO<4"ȪȪxCOMPONENT`x `O<4"ȪȪxCOMPONENTx x x O<4"ȪȪxCOMPONENTOH H<4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO/ /<4"ȪȪxCOMPONENTO? ?<4"ȪȪxCOMPONENT/x /O<4"ȪȪxCOMPONENT?x ?O04$MKRORIGIN<4"ȪȪxCOMPONENTx O=4#x2D GRAPHICOH?H=4#x2D GRAPHIC?H?#=4#x2D GRAPHIC?#/#=4#x2D GRAPHIC/#/H=4#x2D GRAPHIC/HH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICHH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICH0H=4#x2D GRAPHIC0H0#=4#x2D GRAPHIC0#H#=4#x2D GRAPHICH#HH=4#x2D GRAPHICHH`H=4#x2D GRAPHIC`H`#=4#x2D GRAPHIC`#x #=4#x2D GRAPHICx #x H=4#x2D GRAPHICx H H=4#x2D GRAPHICO?=4#x2D GRAPHIC?? s=4#x2D GRAPHIC? s/ s=4#x2D GRAPHIC/ s/=4#x2D GRAPHIC/=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC0=4#x2D GRAPHIC00 s=4#x2D GRAPHIC0 sH s=4#x2D GRAPHICH sH=4#x2D GRAPHICH`=4#x2D GRAPHIC`` s=4#x2D GRAPHIC` sx s=4#x2D GRAPHICx sx =4#x2D GRAPHICx  =4#x2D GRAPHIC)O=4#x2D GRAPHIC)?|=4#x2D GRAPHIC?|)=4#x2D GRAPHIC)`Y|=4#x2D GRAPHIC`Y|@)=4#x2D GRAPHIC@) s|=4#x2D GRAPHIC s|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|3)=4#x2D GRAPHIC3)`|=4#x2D GRAPHIC`|@M )=4#x2D GRAPHIC@M ) |=4#x2D GRAPHIC | PS<4"ȪȪxCOMPONENTO MD#x2D GRAPHICOOYMD#x2D GRAPHIChhMD#x2D GRAPHIChh93?آ?MD#x2D GRAPHICآ?w?HiHiMD#x2D GRAPHICHiHi/MD#x2D GRAPHIC/W((MD#x2D GRAPHIC((L??MD#x2D GRAPHIC?7,?MD#x2D GRAPHICxIMD#x2D GRAPHICxIMD#x2D GRAPHICf?X?MD#x2D GRAPHICX?E?ȜȜMD#x2D GRAPHICȜȜ8cMD#x2D GRAPHIC8c))MD#x2D GRAPHIC))y??MD#x2D GRAPHIC?_?MD#x2D GRAPHICY |MD#x2D GRAPHIC|hChCMD#x2D GRAPHIChChC9? ?MD#x2D GRAPHIC ?wy?HHMD#x2D GRAPHICHH'MD#x2D GRAPHICW(](]MD#x2D GRAPHIC(](]?#?MD#x2D GRAPHIC#?7?MD#x2D GRAPHIC@ x MD#x2D GRAPHICx  v v MD#x2D GRAPHICv v  ?X= ?MD#x2D GRAPHICX= ? X= ?  $PINDEFAULTA0$PINDEFAULTB$PINDEFAULTCp_$PINDEFAULTD/{*DEVICE} {ACTIVE=DSO,0,DLL} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {TRIGAUTO="TRIGAUTO",HIDDEN STRING} {TRIGSRC="TRIGSRC",HIDDEN STRING} {TRIGEDGE="TRIGEDGE",HIDDEN STRING} {TRIGACDC="TRIGACDC",HIDDEN STRING} {TRIGLEVEL="TRIGLEVEL",HIDDEN STRING} {TRIGDELAY="TRIGDELAY",HIDDEN STRING} {HORIZSRC="HORIZSRC",HIDDEN STRING} {HORIZVAL="HORIZVAL",HIDDEN STRING} {HORIZPOS="HORIZPOS",HIDDEN STRING} {CHAINV="CHAINV",HIDDEN STRING} {CHASUM="CHASUM",HIDDEN STRING} {CHAACDC="CHAACDC",HIDDEN STRING} {CHAVAL="CHAVAL",HIDDEN STRING} {CHAPOS="CHAPOS",HIDDEN STRING} {CHBINV="CHBINV",HIDDEN STRING} {CHBACDC="CHBACDC",HIDDEN STRING} {CHBVAL="CHBVAL",HIDDEN STRING} {CHBPOS="CHBPOS",HIDDEN STRING} {CHCINV="CHCINV",HIDDEN STRING} {CHCSUM="CHCSUM",HIDDEN STRING} {CHCACDC="CHCACDC",HIDDEN STRING} {CHCVAL="CHCVAL",HIDDEN STRING} {CHCPOS="CHCPOS",HIDDEN STRING} {CHDINV="CHDINV",HIDDEN STRING} {CHDACDC="CHDACDC",HIDDEN STRING} {CHDVAL="CHDVAL",HIDDEN STRING} {CHDPOS="CHDPOS",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instruments} {DESC=Digital Storage Oscilloscope} {SUBCAT=} {MFR=} {*COMPONENT} {MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} {TRIGAUTO=TRUE} {TRIGSRC=0} {TRIGEDGE=0} {TRIGACDC=1} {TRIGLEVEL=0} {TRIGDELAY=0} {HORIZSRC=0} {HORIZVAL=1.e-003} {HORIZPOS=0} {CHAINV=FALSE} {CHASUM=FALSE} {CHAACDC=0} {CHAVAL=5.} {CHAPOS=120} {CHBINV=FALSE} {CHBACDC=0} {CHBVAL=5.} {CHBPOS=40} {CHCINV=FALSE} {CHCSUM=FALSE} {CHCACDC=0} {CHCVAL=5.} {CHCPOS=-40} {CHDINV=FALSE} {CHDACDC=0} {CHDVAL=5.} {CHDPOS=-120} {PACKAGE=NULL} $IPATTERN GENERATORѕFS@8"ȪȪCOMPONENT]0A*04$MKRORIGIN@8"ȪkCOMPONENT&@8"ȪkCOMPONENT4P00@8"ȪkCOMPONENT4 00@8"ȪkCOMPONENT4+00@8"ȪkCOMPONENT4K00@8"ȪkCOMPONENT4k00@8"ȪkCOMPONENT4`00@8"ȪkCOMPONENT4000@8"ȪkCOMPONENT400@8"ȪkCOMPONENT0P00@8"ȪkCOMPONENT0 00@8"ȪkCOMPONENT0+00@8"ȪkCOMPONENT0K00@8"ȪkCOMPONENT0k00@8"ȪkCOMPONENT0`00@8"ȪkCOMPONENT0000@8"ȪkCOMPONENT000@8"ȪkCOMPONENT`,P00@8"ȪkCOMPONENT`, 00@8"ȪkCOMPONENT`,+00@8"ȪkCOMPONENT`,K00@8"ȪkCOMPONENT`,k00@8"ȪkCOMPONENT`,`00@8"ȪkCOMPONENT`,000@8"ȪkCOMPONENT`,00@8"ȪkCOMPONENT0)P00@8"ȪkCOMPONENT0) 00@8"ȪkCOMPONENT0)+00@8"ȪkCOMPONENT0)K00@8"ȪkCOMPONENT0)k00@8"ȪkCOMPONENT0)`00@8"ȪkCOMPONENT0)000@8"ȪkCOMPONENT0)00@8"ȪkCOMPONENT5%P00@8"ȪkCOMPONENT5% 00@8"ȪkCOMPONENT5%+00@8"ȪkCOMPONENT5%K00@8"ȪkCOMPONENT5%k00@8"ȪkCOMPONENT5%`00@8"ȪkCOMPONENT5%000@8"ȪkCOMPONENT5%00@8"ȪkCOMPONENTT!P00@8"ȪkCOMPONENTT! 00@8"ȪkCOMPONENTT!+00@8"ȪkCOMPONENTT!K00@8"ȪkCOMPONENTT!k00@8"ȪkCOMPONENTT!`00@8"ȪkCOMPONENTT!000@8"ȪkCOMPONENTT!00@8"ȪkCOMPONENTtP00@8"ȪkCOMPONENTt 00@8"ȪkCOMPONENTt+00@8"ȪkCOMPONENTtK00@8"ȪkCOMPONENTtk00@8"ȪkCOMPONENTt`00@8"ȪkCOMPONENTt000@8"ȪkCOMPONENTt00@8"ȪkCOMPONENTpP00@8"ȪkCOMPONENTp 00@8"ȪkCOMPONENTp+00@8"ȪkCOMPONENTpK00@8"ȪkCOMPONENTpk00@8"ȪkCOMPONENTp`00@8"ȪkCOMPONENTp000@8"ȪkCOMPONENTp00@8"ȪkCOMPONENT@P00@8"ȪkCOMPONENT@ 00@8"ȪkCOMPONENT@+00@8"ȪkCOMPONENT@K00@8"ȪkCOMPONENT@k00@8"ȪkCOMPONENT@`00@8"ȪkCOMPONENT@000@8"ȪkCOMPONENT@00@8"ȪkCOMPONENTP00@8"ȪkCOMPONENT 00@8"ȪkCOMPONENT+00@8"ȪkCOMPONENTK00@8"ȪkCOMPONENTk00@8"ȪkCOMPONENT`00@8"ȪkCOMPONENT000@8"ȪkCOMPONENT00@$PINDEFAULTOE0A$PINBUSB[0..7]0Ap_$PINDEFAULTQ00A@$PINDEFAULTQ10A$PINDEFAULTQ20A$PINDEFAULTQ30A$PINDEFAULTQ40A$PINDEFAULTQ50AP$PINDEFAULTQ60A >$PINDEFAULTQ7 >$PINDEFAULTCASCADE$PINDEFAULTCLKIN?$PINDEFAULTHOLDp_$PINDEFAULTTRIGP$PINDEFAULTCLKOUT{*DEVICE} {ACTIVE=PATTGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,504} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDATA="",HIDDEN STRING} {MODDLL="VSM Model DLL",READONLY STRING} {CLOCKRATE="Clock Rate",STRING} {TRIGGERRATE="Reset Rate",STRING} {CLOCKMODE="Clock Mode",KWDLIST,3,0="Internal",1="External Pos Edge",2="External Neg Edge"} {TRIGGERMODE="Reset Mode",KWDLIST,5,0="Internal",1="Async External Pos Edge",2="Sync External Pos Edge",3="Async External Neg Edge",4="Sync External Neg Edge"} {PERIODSEL="Period Selected",HIDDEN INT} {CLOCKOUTSEL="Clockout Enabled in Internal Mode",YESNO} {OUTPUTMODE="Output Configuration",KWDLIST,3,0="Output to Both Pins and Bus",1="Output to Pins Only",2="Output to Bus Only"} {PROGRAM="Pattern Generator Script",FILENAME,FALSE,,Pattern Generator Script/*.PTN} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDATA=1024,0} {MODDLL=PATTGEN.DLL} {CLOCKRATE=1.200kHz} {TRIGGERRATE=500.0mHz} {CLOCKOUTSEL=0} {TRIGGERMODE=0} {CLOCKMODE=0} {OUTPUTMODE=0} {PACKAGE=NULL} $ISIGNAL GENERATORѕF@8"ȪȪwCOMPONENT@p_ A80"`OȪaCOMPONENT?|HLD"ȪȪCOMPONENT`n"x 0x LD"ȪȪCOMPONENTH`Hn=x 0x LD"ȪȪCOMPONENTH`HSRH`HLD"ȪȪCOMPONENTx `x SmH`H<4"ȪȪCOMPONENTp<4"ȪȪCOMPONENTHp<4"ȪȪCOMPONENTHpH<4"ȪȪCOMPONENTHx p<4"ȪȪCOMPONENT@0X<4"ȪȪCOMPONENT0X`(<4"ȪȪCOMPONENT`(x @<4"ȪȪCOMPONENTO/<4"ȪȪCOMPONENT/H/<4"ȪȪCOMPONENTH/HO<4"ȪȪCOMPONENTHOx O<4"ȪȪCOMPONENTx Ox /<4"wȪȪxCOMPONENT?@M ?80"`OȪ`COMPONENT<4"wȪȪxCOMPONENT80"`OȪ`COMPONENT@<4"wȪȪxCOMPONENT@kc"ȪȪCOMPONENTp_O ArialAMkc"ȪȪCOMPONENTO ArialFMjb"ȪȪCOMPONENT ` Arial+jb"ȪȪCOMPONENT ? Arial-04$MKRORIGIN p_p_$PINSHORTA p_$PINSHORTF `$PINSHORT+ ?$PINSHORT-{*DEVICE} {ACTIVE=SIGGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,503} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {*INDEX} {*COMPONENT} {MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} {PACKAGE=NULL} $ISPI DEBUGGERѕF)(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENTO/ 04$MKRORIGINld"ȪȪ{COMPONENT)  ArialSPI`$PINDEFAULTDIN0$PINDEFAULTDOUT$PINDEFAULTSCK$PINDEFAULT$SS$?$PINDEFAULTTRIGE{*DEVICE} {ACTIVE=SPI_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,509} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="SPI Mode",KWDLIST,3,Monitor,Master,Slave} {CLOCKFREQ="Master clock frequency in Hz",FLOAT,PNZ} {IDLESTATE="SCK Idle state is",HILOW} {SAMPLEEDGE="Sampling edge",KWDLIST,2,Idle to active,Active to idle} {BITORDER="Bit order",KWDLIST,2,MSB first,LSB first} {WORDLENGTH="Word length",ADVANCED INT,1,16} {STOPONEMPTY="Stop on output buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {LOOPBACK="Loopback mode",ADVANCED BOOL} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=SPI Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=spidebug.dll} {AUTOLOAD=0} {MODE=Monitor} {CLOCKFREQ=1000000} {IDLESTATE=0} {SAMPLEEDGE=Idle to active} {BITORDER=MSB first} {WORDLENGTH=8} {STOPONEMPTY=1} {LOOPBACK=0} {PACKAGE=NULL} $IVIRTUAL TERMINALѕF@8"ȪȪwCOMPONENT@@.@8"8cȪmCOMPONENT@p_ A04$MKRORIGIN@8"ȪfCOMPONENTXo` $PINDEFAULTRXD$PINDEFAULTRTS0$PINDEFAULTTXDp_$PINDEFAULTCTSE{*DEVICE} {HELP=INSTRUMENTS>POPUP,506} {*PROPDEFS} {PRIMITIVE="Simulator Primitive",HIDDEN STRING} {MODDLL="VSM Model",HIDDEN STRING} {BAUDRATE="Baud Rate",KWDEDIT,9,110,300,1200,2400,4800,9600,19200,38400,57600} {DATABITS="Data Bits",KWDLIST,2,7,8} {PARITY="Parity",KWDLIST,3,NONE,EVEN,ODD} {STOPBITS="Stop Bits",KWDLIST,2,1,2} {XONXOFF="Send XON/XOFF",YESNO} {SIGPOL="RX/TX Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {CTLPOL="RTS/CTS Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {PACKAGE="PCB Package",PACKAGE} {TRACE="Trace Events",ADVANCED TRACEMODE} {*INDEX} {CAT=Virtual Instruments} {SUBCAT=} {MFR=} {DESC=Virtual RS232 / teletype terminal.} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=VTERM.DLL} {BAUDRATE=9600} {DATABITS=8} {PARITY=NONE} {STOPBITS=1} {XONXOFF=0} {SIGPOL=0} {CTLPOL=0} {PACKAGE=NULL} {TRACE=1} CAPzFx,<4"ȪȪCOMPONENT)0)<4"ȪȪCOMPONENTX0X<4"ȪȪCOMPONENTX<4"ȪȪCOMPONENT)004$MKRORIGIN0$PINSHORT11$PINSHORT22{*DEVICE} PREFIX=C HELP="MODELS>POPUP",122 {*PROPDEFS} {PINSWAP="Pin Swap List",HIDDEN STRING} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {PACKAGE=PCB Package,PACKAGE,1,CAP10} {VALUE="Capacitance",FLOAT,PNZ} {*INDEX} {CAT=Capacitors} {SUBCAT=Generic} {DESC=Generic non-electrolytic capacitor} {*COMPONENT} {VALUE=1nF} {PINSWAP=1,2} {PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=CAP10} CAPACITOR@DG| Y (@8"ȪȪwCOMPONENTHip- @8"ȪȪwCOMPONENTHi(p- 64PIN` 64PIN?(04t$MKRORIGIN ` $PINSHORT1 ?t$PINSHORT2CAP_0"@8"ȪgCOMPONENTHip- @8"xȪgCOMPONENTHi p- 648cCvxPIN||648cCvxPIN@| s|648cCvxPIN9|p|648cCvxPIN||648cCvxPIN0|m|648cxPINxI648cxPIN648cxPIN`Y`YxI648cxPIN@ s648cxPINxI648cxPIN9p648cxPINxI648cxPIN648cxPINPSPSxI648cxPIN0m64PIN?(64PIN` CAP_1@8"lȪgCOMPONENTHip- @8"lȪgCOMPONENTHi p- 648cCvxPIN||648cCvxPIN|9|648cCvxPINp|PS|648cCvxPIN0|m|648cxPIN648cxPIN`Y`YxI648cxPIN9648cxPIN s sxI648cxPINpPS648cxPINxI648cxPIN0m648cxPINxI64PIN?(64PIN` CAP_2 @8"`ȪgCOMPONENTHip- @8"`ȪgCOMPONENTHi p- 648cCvxPIN||648cCvxPIN9|p|648cCvxPINPS|0|648cxPIN648cxPIN@@xI648cxPIN9p648cxPINxI648cxPINPS0648cxPINxI64PIN?(64PIN` CAP_3< @8"TȪgCOMPONENTHip- @8"TȪgCOMPONENTHi p- 648cCvxPIN@| s|648cCvxPIN||648cxPIN@ s648cxPINxI648cxPIN648cxPINPSPSxI64PIN?(64PIN` CAP_4@8"HȪgCOMPONENTHip- @8"HȪgCOMPONENTHi p- 648cCvxPIN9|p|648cxPIN9p648cxPINxI64PIN?(64PIN` CAP_5@8"ȪgCOMPONENTHip- @8"ȪgCOMPONENTHi(p- 64PIN` 64PIN?(CAP_6@8"HȪgCOMPONENTHip- @8"HȪgCOMPONENTHi(p- 648cCvxPIN9p648cxPIN9|p|648cxPINhC64PIN` 64PIN?(CAP_7< @8"TȪgCOMPONENTHip- @8"TȪgCOMPONENTHi(p- 648cCvxPIN@ s648cCvxPIN648cxPIN@| s|648cxPINhC648cxPIN||648cxPINPShCPS64PIN` 64PIN?(CAP_8 @8"`ȪgCOMPONENTHip- @8"`ȪgCOMPONENTHi(p- 648cCvxPIN648cCvxPIN9p648cCvxPINPS0648cxPIN||648cxPIN@hC@648cxPIN9|p|648cxPINhC648cxPINPS|0|648cxPINhC64PIN` 64PIN?(CAP_9@8"lȪgCOMPONENTHip- @8"lȪgCOMPONENTHi(p- 648cCvxPIN648cCvxPIN9648cCvxPINpPS648cCvxPIN0m648cxPIN||648cxPIN`YhC`Y648cxPIN|9|648cxPIN shC s648cxPINp|PS|648cxPINhC648cxPIN0|m|648cxPINhC64PIN` 64PIN?(CAP_10"@8"ȪgCOMPONENTHip- @8"xȪgCOMPONENTHi(p- 648cCvxPIN648cCvxPIN@ s648cCvxPIN9p648cCvxPIN648cCvxPIN0m648cxPINhC648cxPIN||648cxPIN`YhC`Y648cxPIN@| s|648cxPINhC648cxPIN9|p|648cxPINhC648cxPIN||648cxPINPShCPS648cxPIN0|m|64PIN` 64PIN?({*DEVICE} PREFIX=C ACTIVE=CAP,11 {*PROPDEFS} {MODFILE="LISA Model File",HIDDEN STRING} {VMAX="Working Voltage",FLOAT,PNZ} {VALUE="Capacitance",FLOAT,PNZ} {*INDEX} {CAT=Capacitors} {SUBCAT=Animated} {DESC=Animated Capacitor model} {*COMPONENT} {VALUE=1000u} {VMAX=12V} {MODFILE=ACTVCAP} CELLzF$:<4"ȪȪCOMPONENTH/<4"ȪȪCOMPONENT<4"ȪȪCOMPONENT04 $MKRORIGIN $PINSHORT+  $PINSHORT-{*DEVICE} PREFIX=BAT {*PROPDEFS} {PRIMITIVE="Simulator Primitive Type",READONLY STRING} {VALUE="Voltage",FLOAT,PNZ} {*INDEX} {CAT=Miscellaneous} {DESC=Battery (single-cell)} {*COMPONENT} {VALUE=1.5V} {PRIMITIVE=ANALOGUE,BATTERY} DIODEzFk,j`"ȪȪCOMPONENT00000<4"ȪȪCOMPONENT00004$MKRORIGIN $PINSHORTA 0$PINSHORTK;{*DEVICE} PREFIX=D HELP=MODELS>POPUP,111 {*PROPDEFS} {PRIMITIVE="Model Type",KWDLIST,2,ANALOG,DIGITAL} {PACKAGE="PCB Package",PACKAGE,1,DIODE30} {PRIMTYPE="Primitive Type",HIDDEN STRING} {*INDEX} {CAT=Diodes} {SUBCAT=Generic} {DESC=Generic diode} {*COMPONENT} {PACKAGE=DIODE30} {PRIMITIVE=ANALOG} {PRIMTYPE=DIODE} IRG4BC10KDC6J80"ȪȪwCOMPONENTj`"ȪcCOMPONENTc% ä)J0 )J@8"ȪȪCOMPONENTHp_<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT?04$MKRORIGINj`"ȪȪCOMPONENTXpXX`pX<4"ȪȪCOMPONENT))<4"ȪȪCOMPONENT`)``<4"ȪȪCOMPONENT`X`?<4"ȪȪCOMPONENT)0<4"ȪȪCOMPONENT(](]Hj`"ȪcCOMPONENTp@@p(<4"ȪȪCOMPONENT`آ/<4"ȪȪCOMPONENT)@<4"ȪȪCOMPONENT0b``|$PINSHORTC2?$PINSHORTG1B`?t$PINSHORTE3~{*DEVICE} {PREFIX=Q} {NOTES=If the simulation aborts with "timestep too small" then set:\nRELTOL=0.005 (up to 0.01)\nITL4=300 (up to 500)\nITL1=300\nand in extreme cases (in order of importance):\nGMIN=1e-09\nABSTOL=1e-08\nVNTOL=1e-05 (up to 1e-03) only if required\nTMAX=10 to 100ns} {DATA=irg4bc10kd.pdf,,,,,Proteus CD,pdfs\IR} {*PROPDEFS} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {SPICEPINS="SPICE SUBCKT Pin List",HIDDEN STRING} {SPICEMODEL="SPICE Model",READONLY STRING} {SPICELIB="SPICE Library",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,1,TO220} {*INDEX} {CAT=Transistors} {SUBCAT=IGBT} {MFR=International Rectifier} {DESC=600V UltraFast 8-25 kHz Copack IGBT in a TO-220AB package} {*COMPONENT} {PRIMITIVE=ANALOG,SUBCKT} {SPICEPINS=C,G,E} {SPICELIB=IRIGBT} {PACKAGE=TO220} {SPICEMODEL=irg4bc10kd} *PINOUT TO220 {ELEMENTS=1} {PIN "C" = 2} {PIN "E" = 3} {PIN "G" = 1} IRG4BC10UC, 80"ȪȪwCOMPONENTj`"ȪcCOMPONENTc% ä)J0 )J@8"ȪȪCOMPONENTHp_<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT?04$MKRORIGIN<4"ȪȪCOMPONENT)0<4"ȪȪCOMPONENT(](]Hj`"ȪcCOMPONENTp@@p(<4"ȪȪCOMPONENT`آ/<4"ȪȪCOMPONENT)@<4"ȪȪCOMPONENT0b``|$PINSHORTC2?$PINSHORTG1B`?t$PINSHORTE3}{*DEVICE} {PREFIX=Q} {NOTES=If the simulation aborts with "timestep too small" then set:\nRELTOL=0.005 (up to 0.01)\nITL4=300 (up to 500)\nITL1=300\nand in extreme cases (in order of importance):\nGMIN=1e-09\nABSTOL=1e-08\nVNTOL=1e-05 (up to 1e-03) only if required\nTMAX=10 to 100ns} {DATA=irg4bc10ud.pdf,,,,,Proteus CD,pdfs\IR} {*PROPDEFS} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {SPICEPINS="SPICE SUBCKT Pin List",HIDDEN STRING} {SPICEMODEL="SPICE Model",READONLY STRING} {SPICELIB="SPICE Library",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,1,TO220} {*INDEX} {CAT=Transistors} {SUBCAT=IGBT} {MFR=International Rectifier} {DESC=600V UltraFast 8-60 kHz Copack IGBT in a TO-220AB package} {*COMPONENT} {PRIMITIVE=ANALOG,SUBCKT} {SPICEPINS=C,G,E} {SPICELIB=IRIGBT} {PACKAGE=TO220} {SPICEMODEL=irg4bc10u} *PINOUT TO220 {ELEMENTS=1} {PIN "C" = 2} {PIN "E" = 3} {PIN "G" = 1} LAMP@DGx)Z( 80"ȪgCOMPONENT`FD8c```xPIN|@PSFD8c```xPINȜȜ98cXpXFD8c```xPIN||XpXFD8c```xPIN|PShC0FD8c```xPINȜȜp8c)p)648c```xPIN`0648c```xPIN?FD8c```xPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]P` $PINSHORT1?t$PINSHORT2LAMP_080"ȪgCOMPONENT`FD8c```xPIN|@PSFD8c```xPINȜȜ98cXpXFD8c```xPIN||XpXFD8c```xPIN|PShC0FD8c```xPINȜȜp8c)p)648c```xPIN`0648c```xPIN?FD8c```xPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_180"ȪgCOMPONENT`FD8c`xPIN|@PSFD8c`xPINȜȜ98cXpXFD8c`xPIN||XpXFD8c`xPIN|PShC0FD8c`xPINȜȜp8c)p)648c`xPIN`0648c`xPIN?FD8c`xPIN||>)p)04$MKRORIGIN648c`xPINH`(] 648cxPIN0X= m648c`xPINx g 648c`xPINX= 648c`xPINH?(]P648c`xPIN0X= mLAMP_280"ȪgCOMPONENT`FD8cxxPIN|@PSFD8cxxPINȜȜ98cXpXFD8cxxPIN||XpXFD8cxxPIN|PShC0FD8cxxPINȜȜp8c)p)648cxxPIN`0648cxxPIN?FD8cxxPIN||>)p)04$MKRORIGIN648cxxPINH`(] 648cxxPIN0X= m648cxxPINx g 648cxxPINX= 648cxxPINH?(]PLAMP_380"ȪgCOMPONENT`FD8cxPIN|@PSFD8cxPINȜȜ98cXpXFD8cxPIN||XpXFD8cxPIN|PShC0FD8cxPINȜȜp8c)p)648cxPIN`0648cxPIN?FD8cxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_480"ȪgCOMPONENT`LD"8cߨ`Ȫ`COMPONENT|@PSLD"8cߨ`Ȫ`COMPONENTȜȜ98cXpXLD"8cߨ`Ȫ`COMPONENT||XpXLD"8cߨ`Ȫ`COMPONENT|PShC0LD"8cߨ`Ȫ`COMPONENTȜȜp8c)p)<4"8cߨ`Ȫ`COMPONENT`0<4"8cߨ`Ȫ`COMPONENT?LD"8cߨ`Ȫ`COMPONENT||>)p)04$MKRORIGIN<4"8cߨ`Ȫ`COMPONENTH`(] <4"8cߨ`Ȫ`COMPONENT0X= m<4"8cߨ`Ȫ`COMPONENTx g <4"8cߨ`Ȫ`COMPONENTX= <4"8cߨ`Ȫ`COMPONENTH?(]PLAMP_580"ȪgCOMPONENT`FD8cߨxPIN|@PSFD8cߨxPINȜȜ98cXpXFD8cߨxPIN||XpXFD8cߨxPIN|PShC0FD8cߨxPINȜȜp8c)p)648cߨxPIN`0648cߨxPIN?FD8cߨxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_680"ȪgCOMPONENT`FD8cxPIN|@PSFD8cxPINȜȜ98cXpXFD8cxPIN||XpXFD8cxPIN|PShC0FD8cxPINȜȜp8c)p)648cxPIN`0648cxPIN?FD8cxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_780"ȪgCOMPONENT`FD8cxPIN|@PSFD8cxPINȜȜ98cXpXFD8cxPIN||XpXFD8cxPIN|PShC0FD8cxPINȜȜp8c)p)648cxPIN`0648cxPIN?FD8cxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_880"ȪgCOMPONENT`FD8cxPIN|@PSFD8cxPINȜȜ98cXpXFD8cxPIN||XpXFD8cxPIN|PShC0FD8cxPINȜȜp8c)p)648cxPIN`0648cxPIN?FD8cxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]PLAMP_980"ȪgCOMPONENT`FD8cxPIN|@PSFD8cxPINȜȜ98cXpXFD8cxPIN||XpXFD8cxPIN|PShC0FD8cxPINȜȜp8c)p)648cxPIN`0648cxPIN?FD8cxPIN||>)p)04$MKRORIGIN648cxPINH`(] 648cxPIN0X= m648cxPINx g 648cxPINX= 648cxPINH?(]P{*DEVICE} PREFIX=L ACTIVE=LAMP,10 {*PROPDEFS} {LOAD="Resistance",FLOAT,PNZ} {MODFILE="LISA Model File",HIDDEN STRING} {VALUE="Nominal Voltage",FLOAT,PNZ} {*INDEX} {CAT=Optoelectronics} {SUBCAT=Lamps} {DESC=Animated Light Bulb} {*COMPONENT} {VALUE=12V} {LOAD=24Ohms} {MODFILE=LAMP} MOTOR*BE?B@80"ȪgCOMPONENT``"ȪdCOMPONENT @`3j`kBǷ2ǷkBkB`"ȪdCOMPONENT 8``Vl3Vlj``9H29HkB`80"ȪȪCOMPONENT04$MKRORIGIN ` $PINSHORT+ ?t$PINSHORT-ROTOR_C|80"ȪgCOMPONENT`80"ȪȪCOMPONENTROTOR_0|`"ԔȪ`COMPONENT `8`3UljUl``29H9HkB``"ԔȪ`COMPONENT @`3j`kB2ǷǷkBkB`"Ȫ`COMPONENT @`3j`kBǷ2ǷkBkB`"Ȫ`COMPONENT 8``Vl3Vlj``9H29HkB`ROTOR_1j`"ԔȪ`COMPONENT O`]Dce`Ecu@8<\Dc`"ԔȪ`COMPONENT v&,"(]u'^fg(\`` v_\+"`Dc\@f;'\`` O]&ue(Dcu^8g(uROTOR_2|`"ԔȪ`COMPONENT xOf$$B[4Dn=PrC[`"ԔȪ`COMPONENT $B#T$ ˻,`"Ȫ`COMPONENT TBOfB[,4D=`"Ȫ`COMPONENT $$%x#$C[Pr n˻$ROTOR_3j`"ԔȪ`COMPONENT GE94``vWkO@xÕ{Wk9`"ԔȪ`COMPONENT 3FmD+ ^9>]u^N>jQ{^9`` FmHD+ F^9\4>a9{XkQ@>jx{^9`` 3v_`vWkOÕOv_ROTOR_4|`"ԔȪ`COMPONENT ~~p>vԩ2a-KN4a~`"ԔȪ`COMPONENT nb<2nb~;+VX‰2nbA,A4nb~`"Ȫ`COMPONENT <~nb~‰p,V~4a-,KA4nb~`"Ȫ`COMPONENT nb22nbթ;>vX2aNA2nbROTOR_5j`"ԔȪ`COMPONENT λBCsvqթ2a-NKa4~`"Ȫ`COMPONENT nb2nb2;ԩX>v2aNAnb2`"Ȫ`COMPONENT ~<~nbp‰+V~`4-J,3A~nbROTOR_9j`"ԔȪ`COMPONENT HFva`49Wk{Õx@OXkv`"ԔȪ`COMPONENT Fm3D+ ^v]>9_{Q>jO^v`` 3_v`vOWkÕO_v`` HFmFD+ 9^4]`>9Xk{@Qx>j{9^ROTOR_10|`"ԔȪ`COMPONENT $BT$$ ,˻`"ԔȪ`COMPONENT x%Of$C[n5DPr>C[`"Ȫ`COMPONENT $$$$x$C[ Pr˻n$`"Ȫ`COMPONENT TNfBB[4D,=ROTOR_11j`"ԔȪ`COMPONENT O]_uDc`eDc\;8@uDc`"ԔȪ`COMPONENT v&u](,"\(gf^'u`` O&]u&euDc^f8'u`` v_]`,"Dc\@;f\'ROTOR_12|`"ԔȪ`COMPONENT @`3j`kBǷ2ǷkBkB`"ԔȪ`COMPONENT 8``Vl3Vlj``9H29HkB``"Ȫ`COMPONENT `8`3UljUl``29H9HkB``"Ȫ`COMPONENT @`3j`kB2ǷǷkBkBROTOR_13j`"ԔȪ`COMPONENT v_\+"`Dc\@f;'\`"ԔȪ`COMPONENT O]&ue(Dcu^8g(u`` O`]Dce`Ecu@8<\Dc`` v&,"(]u'^fg(\ROTOR_14|`"ԔȪ`COMPONENT TBOfB[,4D=`"ԔȪ`COMPONENT $$%x#$C[Pr n˻$`"Ȫ`COMPONENT xOf$$B[4Dn=PrC[`"Ȫ`COMPONENT $B#T$ ˻,ROTOR_15j`"ԔȪ`COMPONENT FmHD+ F^9\4>a9{XkQ@>jx{^9`"ԔȪ`COMPONENT 3v_`vWkOÕOv_`` GE94``vWkO@xÕ{Wk9`` 3FmD+ ^9>]u^N>jQ{^9ROTOR_16|`"ԔȪ`COMPONENT <~nb~‰p,V~4a-,KA4nb~`"ԔȪ`COMPONENT nb22nbթ;>vX2aNA2nb`"Ȫ`COMPONENT ~~p>vԩ2a-KN4a~`"Ȫ`COMPONENT nb<2nb~;+VX‰2nbA,A4nb~ROTOR_17j`"ԔȪ`COMPONENT [λu BC0DACs<M(ת&q -XC`"ԔȪ`COMPONENT 2D=GV.{t<=N(~~uӧ*Uڎ~=`` λBCsv2aNAnb2`"ԔȪ`COMPONENT ~<~nbp‰+V~`4-J,3A~nb`"Ȫ`COMPONENT vqթ2a-NKa4~ROTOR_21j`"ԔȪ`COMPONENT 3_v`vOWkÕO_v`"ԔȪ`COMPONENT HFmFD+ 9^4]`>9Xk{@Qx>j{9^`` HFva`49Wk{Õx@OXkv`` Fm3D+ ^v]>9_{Q>jO^vROTOR_22|`"ԔȪ`COMPONENT $$$$x$C[ Pr˻n$`"ԔȪ`COMPONENT TNfBB[4D,=`"Ȫ`COMPONENT $BT$$ ,˻`"Ȫ`COMPONENT x%Of$C[n5DPr>C[ROTOR_23j`"ԔȪ`COMPONENT O&]u&euDc^f8'u`"ԔȪ`COMPONENT v_]`,"Dc\@;f\'`` O]_uDc`eDc\;8@uDc`` v&u](,"\(gf^'uROTOR_24|`"ԔȪ`COMPONENT `8`3UljUl``29H9HkB``"ԔȪ`COMPONENT @`3j`kB2ǷǷkBkB`"Ȫ`COMPONENT @`3j`kBǷ2ǷkBkB`"Ȫ`COMPONENT 8``Vl3Vlj``9H29HkB`n{*DEVICE} ACTIVE=ROTOR,25 {*PROPDEFS} {REVS="Nominal Revs.",FLOAT,PNZ} {LOAD="Load Resistance",FLOAT,PNZ} {ACTIVE="Active Symbols",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {VALUE="Nominal Voltage",FLOAT,PNZ} {*INDEX} {CAT=Electromechanical} {DESC=Simple DC Motor model} {*COMPONENT} {VALUE=12V} {REVS=6} {LOAD=12Ohms} {MODFILE=MOTOR} {PACKAGE=NULL} MOTOR-DC*BEv h@8"`O@@INDICATOR 0nf"`O@@{INDICATOR 0Default Font+88.880"ȪgCOMPONENT``"ȪdCOMPONENT @``j3kBkBǷ2ǷkB``"ȪdCOMPONENT `8``jVl3Vl`kB9H29H``80"ȪgCOMPONENT04t$MKRORIGIN04t$MKRORIGIN ?$PINSHORT+ `$PINSHORT-ROTOR_C80"ȪgCOMPONENT`80"ȪgCOMPONENT04$MKRORIGINROTOR_0`"ԔȪ`COMPONENT 8``Vl3Vlj``9H29HkB``"Ȫ`COMPONENT @``j3kBkBǷ2ǷkB``"Ȫ`COMPONENT `8``jVl3Vl`kB9H29H``04$MKRORIGIN`"ԔȪ`COMPONENT @`3j`kBǷ2ǷkBkB80"ȪfCOMPONENT 8c80"ȪfCOMPONENT(8cROTOR_1|04$MKRORIGIN@8"`O@@INDICATOR 0 {*DEVICE} ACTIVE=ROTOR,2,DLL HELP=MOTORS>POPUP,1 {*PROPDEFS} {MODFILE="LISA Model File",READONLY STRING} {VNOM="Nominal Voltage",FLOAT,PNZ} {RCOIL="Coil Resistance",FLOAT,PNZ} {LCOIL="Coil Inductance",FLOAT,POZ} {RPM="Zero Load RPM",FLOAT,PNZ} {LOAD="Load/Max Torque %",FLOAT,1,100} {MASS="Effective Mass",FLOAT,PNZ} {*INDEX} {CAT=Electromechanical} {DESC=Animated DC Motor model With Inertia And Loading} {*COMPONENT} {MODFILE=DCMOTOR} {VNOM=12V} {RCOIL=12} {LCOIL=100mH} {RPM=1000} {LOAD=50} {MASS=0.01} {PACKAGE=NULL} NPNF j`"ȪȪCOMPONENT0`0H`0@8"ȪȪCOMPONENT?pp_<4"ȪȪCOMPONENT/ `<4"ȪȪCOMPONENT/x @<4"ȪȪCOMPONENTp_ ? 04$MKRORIGIN p_ $PINSHORTB `|$PINSHORTC 0t$PINSHORTE {*DEVICE} PREFIX=Q HELP=MODELS>POPUP,153 {*PROPDEFS} OFF=Initially OFF,BOOL SPICEMODEL=Spice Model,STRING SPICEFILE=Spice Model File,FILENAME,FALSE,.,SPICE Model Files/*.INC;*.LIB BF=Ideal forward beta,ADVANCED FLOAT,PNZ IS=Saturation Current,ADVANCED FLOAT,PNZ NF=Forward emission coefficient,ADVANCED FLOAT,POZ VAF=Forward Early voltage,ADVANCED FLOAT IKF=Forward beta roll-off corner current,ADVANCED FLOAT,POZ ISE=B-E leakage saturation current,ADVANCED FLOAT,PNZ NE=B-E leakage emission coefficient,ADVANCED FLOAT,POZ BR=Ideal reverse beta,ADVANCED FLOAT,PNZ NR=Reverse emission coefficient,ADVANCED FLOAT VAR=Reverse Early voltage,ADVANCED FLOAT IKR=reverse beta roll-off corner current,ADVANCED FLOAT ISC=B-C leakage saturation current,ADVANCED FLOAT NC=B-C leakage emission coefficient,ADVANCED FLOAT RB=Zero bias base resistance,ADVANCED FLOAT,POZ RBM=Minimum base resistance,ADVANCED FLOAT,POZ IRB=Current for base resistance=(rb+rbm)/2,ADVANCED FLOAT RE=Emitter resistance,ADVANCED FLOAT,POZ RC=Collector resistance,ADVANCED FLOAT,POZ CJE=Zero bias B-E depletion capacitance,ADVANCED FLOAT VJE=B-E built in potential,ADVANCED FLOAT MJE=B-E junction grading coefficient,ADVANCED FLOAT TF=Ideal forward transit time,ADVANCED FLOAT XTF=Coefficient for bias dependence of TF,ADVANCED FLOAT VTF=Voltage giving VBC dependence of TF,ADVANCED FLOAT ITF=High current dependence of TF,ADVANCED FLOAT PTF=Excess phase,ADVANCED FLOAT CJC=Zero bias B-C depletion capacitance,ADVANCED FLOAT VJC=B-C built in potential,ADVANCED FLOAT MJC=B-C junction grading coefficient,ADVANCED FLOAT XCJC=Fraction of B-C cap to internal base,ADVANCED FLOAT TR=Ideal reverse transit time,ADVANCED FLOAT CJS=Zero bias C-S capacitance,ADVANCED FLOAT VJS=Substrate junction built in potential,ADVANCED FLOAT MJS=Substrate junction grading coefficient,ADVANCED FLOAT XTB=Forward and reverse beta temp. exp.,ADVANCED FLOAT EG=Energy gap for IS temp. dependency,ADVANCED FLOAT XTI=Temp. exponent for IS,ADVANCED FLOAT FC=Forward bias junction fit parameter,ADVANCED FLOAT KF=Flicker Noise Coefficient,ADVANCED FLOAT AF=Flicker Noise Exponent,ADVANCED FLOAT PRIMITIVE=Primitive Type,HIDDEN STRING {*INDEX} {CAT=Modelling Primitives} {SUBCAT=Analog (SPICE)} {DESC=NPN Bipolar Transistor primitive} {*COMPONENT} {PRIMITIVE=ANALOGUE} OPAMPzFZj`"ȪȪCOMPONENT?O`x ?x ?O`?x <4"ȪȪCOMPONENT/<4"ȪȪCOMPONENT/00<4"ȪȪCOMPONENT`Y `Y04$MKRORIGIN ?0$PINDEFAULTPOS_IP ?$PINDEFAULTNEG_IP `$PINDEFAULTOP{*DEVICE} PREFIX=U {*PROPDEFS} {MODFILE="LISA Model File",HIDDEN STRING} {GAIN="Voltage Gain",FLOAT,PNZ} {VPOS="Positive Rail Voltage",FLOAT,POZ} {VNEG="Negative Rail Voltage",FLOAT,NOZ} {ZI="Input Impedance",FLOAT,PNZ} {ZO="Output Impedance",FLOAT,PNZ} {*INDEX} {CAT=Operational Amplifiers} {SUBCAT=Ideal} {DESC=Ideal operational amplfier (OPAMP)} {*COMPONENT} {GAIN=1E6} {VPOS=15} {VNEG=-15} {ZI=1E8} {ZO=1.0} {MODFILE=OA_3PIN} PNPzF^j`"ȪȪCOMPONENT//@8"ȪȪCOMPONENTHp_<4"ȪȪCOMPONENT0`<4"ȪȪCOMPONENT0?)(04$MKRORIGIN $PINSHORTB 0`|$PINSHORTC 0?t$PINSHORTE{*DEVICE} PREFIX=Q HELP=MODELS>POPUP,153 {*PROPDEFS} PRIMITIVE=Simulator Primitive Type,STRING {*INDEX} {CAT=Transistors} {SUBCAT=Generic,Bipolar} {DESC=Generic PNP bipolar transistor} {*COMPONENT} {PRIMITIVE=ANALOGUE} POT-LIN@DG  @8"ȪȪCOMPONENT s/ @64PIN?/64PINH`<4"ȪȪCOMPONENT9<4"ȪȪCOMPONENTp64PIN004$MKRORIGIN04`YhC$MKRINCREMENT04`Y$MKRDECREMENT ?$PINSHORT1 `$PINSHORT2 0$PINSHORT3POT_0@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN/Hi64PIN/(64PIN/0/04$MKRORIGIN64PIN0/0POT_1@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN`Y64PIN`Y64PIN`Y0`Y04$MKRORIGIN64PIN0`Y0POT_2@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN64PINxI64PIN004$MKRORIGIN64PIN00POT_3@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN@64PIN s64PIN004$MKRORIGIN64PIN00POT_4@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PINX64PINXȜ64PINX0X04$MKRORIGIN64PIN0X0POT_5@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN964PINp64PIN004$MKRORIGINPOT_6@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN)64PIN)8c64PIN)0)04$MKRORIGIN64PIN0)0POT_7@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINPS64PINPS64PINPS0PS04$MKRORIGIN64PIN0PS0POT_8@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN|hC64PIN|64PIN|0|04$MKRORIGIN64PIN0|0POT_9@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINm64PIN064PIN004$MKRORIGIN64PIN00POT_10@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINH64PINH 64PINH0H04$MKRORIGIN64PIN0H01{*DEVICE} PREFIX=RV ACTIVE=POT,11 {*PROPDEFS} {MODFILE="LISA Model File",READONLY STRING} {STATE="Active State",HIDDEN STRING} {VALUE="Resistance",FLOAT,PNZ} {*INDEX} {CAT=Resistors} {SUBCAT=Variable} {DESC=Interactive Potentiometer With Linear Track} {*COMPONENT} {VALUE=1k} {MODFILE=POT_LIN} {STATE=5} REALINDzF LD"ȪȪCOMPONENT??Hi0/0LD"ȪȪCOMPONENT`Y0/0LD"ȪȪCOMPONENTxI00LD"ȪȪCOMPONENT900LD"ȪȪCOMPONENTp00LD"ȪȪCOMPONENT0000LD"ȪȪCOMPONENT000H0LD"ȪȪCOMPONENT``0H004$MKRORIGIN?$PINSHORT1`$PINSHORT2{*DEVICE} {PREFIX=L} {HELP=MODELS>POPUP,105} {*PROPDEFS} {PINSWAP="Pin Swap List",HIDDEN STRING} {VALUE="Inductance (Henry)",FLOAT,PNZ} {MODFILE="LISA Model File",READONLY STRING} {RP="Equivalent Parallel Resistance",STRING} {ESR="Equivalent Series Resistance",STRING} {CP="Equivalent Parallel Capacitance",STRING} {*INDEX} {CAT=Inductors} {SUBCAT=Generic} {DESC=Real Inductor} {*COMPONENT} {VALUE=1mH} {PINSWAP=1,2} {MODFILE=REALIND} {RP=1M} {ESR=0.2} {CP=0.2pF} {PINSWAP=1,2} RESzFr|@8"ȪȪCOMPONENT @04$MKRORIGIN$PINSHORT1`$PINSHORT2{*DEVICE} PREFIX=R HELP=MODELS>POPUP,100 {*PROPDEFS} {VALUE="Resistance",FLOAT,PNZ} {PRIMITIVE="Model Type",KWDLIST,2,ANALOG,DIGITAL} {PACKAGE="PCB Package",PACKAGE,1,RES40} {PRIMTYPE="Primitive Type",HIDDEN STRING} {PINSWAP="Pin Swap List",HIDDEN STRING} {*INDEX} {CAT=Resistors} {SUBCAT=Generic} {DESC=Generic resistor symbol} {*COMPONENT} {VALUE=10k} {PRIMITIVE=ANALOG} {PACKAGE=RES40} {PRIMTYPE=RESISTOR} {PINSWAP=1,2} SWITCH@DGf<4"ȪȪCOMPONENT8cm 80"ԔȪȪ|COMPONENTp80"ԔȪȪ|COMPONENTxIp64PIN64PIN(]`04$MKRORIGIN04`0$MKRINCREMENT04 0$MKRDECREMENT $PINSHORTCOM `$PINSHORTNOSWITCH_0T80"ԔȪȪ|COMPONENTp80"ԔȪȪ|COMPONENTxIp64PIN64PIN(]`04$MKRORIGIN<4"ȪȪCOMPONENT8cm SWITCH_1T80"ԔȪȪ|COMPONENTp80"ԔȪȪ|COMPONENTxIp64PIN64PIN(]`04$MKRORIGIN<4"ȪȪCOMPONENT8c3){*DEVICE} ACTIVE=SWITCH,2 {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {R(0)="Off Resistance",FLOAT,PNZ} {R(1)="On Resistance",FLOAT,PNZ} {TSWITCH="Switching Time",STRING} {STATE="Active State",HIDDEN STRING} {*INDEX} {CAT=Switches & Relays} {SUBCAT=Switches} {DESC=Interactive SPST Switch (Latched Action)} {*COMPONENT} {STATE=0} {PRIMITIVE=PASSIVE,RTSWITCH} {R(0)=100M} {R(1)=0.01} {TSWITCH=1m} {PACKAGE=NULL} THYRISTORzFdj`"ȪȪCOMPONENT000000<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT/<4"ȪȪCOMPONENT04$MKRORIGIN64PIN?/ 0|$PINDEFAULTA t$PINSHORTK ?$PINSHORTGg{*DEVICE} PREFIX=U {*PROPDEFS} {MODFILE="LISA Model File",HIDDEN STRING} {IT="Trigger Current",FLOAT,PNZ} {IH="Holding Current",FLOAT,PNZ} {RON="On Resistance",FLOAT,PNZ} {ROFF="Off Resistance",FLOAT,PNZ} {*INDEX} {CAT=Switching Devices} {SUBCAT=Generic,SCRs} {DESC=Generic thyristor (SCR)} {*COMPONENT} {MODFILE=SCR} {IT=1mA} {IH=5mA} {RON=0.1} {ROFF=100M} VN121&XC> j`"ȪȪCOMPONENTX0)0)0X@8"ȪȪCOMPONENTHp_<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT?<4"ȪȪCOMPONENT000<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT0`04$MKRORIGIN<4"ȪȪCOMPONENT0`<4"ȪȪCOMPONENT``?<4"ȪȪCOMPONENT```0<4"ȪȪCOMPONENT`000<4"ȪȪCOMPONENT````|$PINSHORTD3?$PINSHORTG2`?t$PINSHORTS1A{*DEVICE} PREFIX=Q {*PROPDEFS} MODEL=LISA Model,STRING MODFILE=LISA Model File,STRING PACKAGE=PCB Package,PACKAGE,1,TO92 PRIMITIVE=Primitive Type,HIDDEN STRING {*INDEX} {CAT=Transistors} {SUBCAT=MOSFET} {DESC=N-Channel MOSFET} {*COMPONENT} {PRIMITIVE=ANALOG,NMOSFET} {MODFILE=FETTRAN} {PACKAGE=TO92} {MODEL=LX_MFET_SSN} VP2210(XC> j`"ȪȪCOMPONENTXH))HX)@8"ȪȪCOMPONENTHp_<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT?<4"ȪȪCOMPONENT000<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT0`<4"ȪȪCOMPONENT00`0<4"ȪȪCOMPONENT<4"ȪȪCOMPONENTH`04$MKRORIGIN<4"ȪȪCOMPONENT`0``<4"ȪȪCOMPONENT``?J``|$PINSHORTD ?$PINSHORTGJ`?t$PINSHORTS({*DEVICE} PREFIX=Q HELP=MODELS>POPUP,113 {*PROPDEFS} {PACKAGE="PCB Package",PACKAGE,1,TO92} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {SPICELIB="SPICE Library",HIDDEN STRING} {SPICEMODEL="SPICE Model",READONLY STRING} {L=Channel Length,HIDDEN FLOAT,POZ} {W=Channel Width,HIDDEN FLOAT,POZ} {*INDEX} {CAT=Transistors} {SUBCAT=MOSFET} {DESC=P-Channel MOSFET} {MFR=Supertex} {*COMPONENT} {PRIMITIVE=ANALOG,PMOSFET} {SPICEMODEL=VP2210} {SPICELIB=SUPERTEX} {PACKAGE=TO92} *PINOUT TO92 ELEMENTS=1 PS "D" = 3 PS "G" = 2 PS "S" = 1 GATESWAP=FALSE ISIS CIRCUIT FILE5  MOBJECT DATA` $TERGROUND 40 Default FontTERMINAL LABEL:Iph2H Default FontCOMPONENT IDIp 50 Default FontCOMPONENT VALUE$ISIGNAL GENERATORIp 10 Default FontSUBCKT NAME'{MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} Ip 00 Default FontPROPERTIES{{MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} {PACKAGE=NULL} {FREQV=68} {FREQR=4} {AMPLV=120} {AMPLR=3} {WAVEFORM=1} {UNIPOLAR=1} $ISIGNAL GENERATORZ $TERGROUND zP40 Default FontTERMINAL LABEL@WIREz?Z?ZRV1ga1#2H Default FontCOMPONENT ID1kga0Q 50 Default FontCOMPONENT VALUEPOT-LINgaq10 Default FontSUBCKT NAME{MODFILE=POT_LIN} {STATE=0} gaq00 Default FontPROPERTIESPOT-LIN 8] A9= 8]? $TERGROUND WY@40 Default FontTERMINAL LABEL@WIRE 8] 8] 8]@. $TERPOWER VCCWYB640 Default FontTERMINAL LABEL9@WIRE 8]" 8]*U2Lh2H Default FontCOMPONENT IDOPAMPL50 Default FontCOMPONENT VALUEOPAMPL10 Default FontSUBCKT NAME2{GAIN=1E6} {VPOS=15} {VNEG=-15} {ZI=1E8} {ZO=1.0} L00 Default FontPROPERTIESB{MODFILE=OA_3PIN} {GAIN=1E6} {VPOS=12} {VNEG=0} {ZI=1E8} {ZO=1.0} OPAMPp\ o.Xg=2H Default FontCOMPONENT IDXgN750 Default FontCOMPONENT VALUE$IDC VOLTMETERXg410 Default FontSUBCKT NAME0{LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} Xg400 Default FontPROPERTIESI{LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} {STATE=2} {PACKAGE=NULL} $IDC VOLTMETER]pb2nQ1hP2H Default FontCOMPONENT IDNPNh 50 Default FontCOMPONENT VALUENPNh 10 Default FontSUBCKT NAME{PRIMITIVE=ANALOGUE} h 00 Default FontPROPERTIESNPN`+{:@WIRE```"K@WIRE` A`"@WIRE]&]"`"`| $TERPOWER VCC`ƃ40 Default FontTERMINAL LABEL``ns@WIRE]>]```@WIRE`%x``R1P| 2H Default FontCOMPONENT ID1kPP 50 Default FontCOMPONENT VALUERESP 10 Default FontSUBCKT NAME#{PRIMITIVE=ANALOG} {PACKAGE=RES40} P 00 Default FontPROPERTIESE{PRIMITIVE=ANALOG} {PACKAGE=RES40} {PRIMTYPE=RESISTOR} {PINSWAP=1,2} RES , {@WIRE0 p_ C1I2H Default FontCOMPONENT ID100u"D50 Default FontCOMPONENT VALUE CAPACITORxB@10 Default FontSUBCKT NAME{MODFILE=ACTVCAP} {VMAX=12V} xB@00 Default FontPROPERTIES CAPACITOR?0A-K@WIRE``?`?M@WIRE`"?"?B6JhP,` Default FontSCRIPTExemple de montage pour constater le principe du MLI : - la valeur moyenne aux bornes du moteur varie en fonction du rapport cyclique du signal de commande - le transistor est utilis en simple "interrupteur command" - ce montage est un simple hacheur srie (sans diode de roue libre inutile sous Proteus) - le condensateur permet de lisser la tension afin de stabiliser le voltmtre mesurant la valeur moyenne - ce montage est entirement intractif : utilisation du GBF et de l'oscilloscope - en agissant sur le potentiomtre on constate en temps rel la variation de la tension aux bornes du moteur - malheureusement la variation de la vitesse du moteur n'est pas toujours perceptible l'cran Ralis par Jean-Christophe MICHEL le 9 fvrier 2011 www.gecif.net ȉI2H Default FontCOMPONENT ID12Vȉ"D50 Default FontCOMPONENT VALUEMOTORȉxB@10 Default FontSUBCKT NAME'{REVS=6} {LOAD=12Ohms} {MODFILE=MOTOR} ȉxB@00 Default FontPROPERTIES6{REVS=6} {LOAD=12Ohms} {MODFILE=MOTOR} {PACKAGE=NULL} MOTOR`0A3s@WIRE```M@WIRE`"`B6:phcQ,` Default FontSCRIPTRglage de l'oscilloscope, le GBF dlivrant un signal triangulaire 0/12V de 6 kHz environ : - rgler la source sur C (signal priodique) dans le cadre Trigger - mettre sur GND les voies A, B et C, et sur OFF la voie D - rgler la base de temps 30u puis valider par entre - rgler la position horizontale de la base de temps 0 (double clic) - rgler la sensibilit verticale des voies A, B et C 5 V puis valider par entre - rgler la position verticale de des voies A, B et C 0 (double clic) - mettre sur DC les voies A, B et C : le trac commence l'cran - rgler les positions verticales des voies A, B et C pour rpartir les signaux sur l'cran Si le trac n'est pas fixe ou disparat, changer la source dans Trigger (tester les diffrents signaux). Pour prendre des mesures sur l'cran de l'oscilloscope : - cliquer sur Cursors - cliquer sur l'cran, dplacer le souris, puis d-cliquer - un dplacement horizontal mesure un temps - un dplacement vertical mesure une tension - pour dplacer une mesure (ligne ou flche) : cliquer gauche dessus puis dplacer - pour effacer une mesure (ligne ou flche) : cliquer droit dessus puis Delete Cursor Si on clique sur la croix en haut droite de l'cran de l'oscilloscope il disparait. Pour le faire revenir, cliquer droit sur l'appareil OSCILLOSCOPE connect au montage puis sur Digital Oscilloscope (la simulation temps rel tant lance). Ralis par Jean-Christophe MICHEL le 13 fvrier 2011 Lph2H Default FontCOMPONENT IDL0TI50 Default FontCOMPONENT VALUE$IOSCILLOSCOPELtE10 Default FontSUBCKT NAME${MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} LtE00 Default FontPROPERTIES{MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} {TRIGAUTO=TRUE} {TRIGSRC=2} {TRIGEDGE=0} {TRIGACDC=1} {TRIGLEVEL=0} {TRIGDELAY=0} {HORIZSRC=0} {HORIZVAL=3.5000000000000004e-005} {HORIZPOS=200} {CHAINV=FALSE} {CHASUM=FALSE} {CHAACDC=1} {CHAVAL=5.} {CHAPOS=-16} {CHBINV=FALSE} {CHBACDC=1} {CHBVAL=5.} {CHBPOS=0} {CHCINV=FALSE} {CHCSUM=FALSE} {CHCACDC=1} {CHCVAL=5.} {CHCPOS=-124} {CHDINV=FALSE} {CHDACDC=3} {CHDVAL=5.} {CHDPOS=-120} {PACKAGE=NULL} {TRIGCURSORS=FALSE} $IOSCILLOSCOPEP$Y4`p A=o@WIREd Ap A@WIREۦpp A@WIREۦdpdp AZ`.`@WIREz`Z`@WIREۦ`Z`@WIREۦ]Z]Z` @WIRE0 @WIRE @WIREۦ DU DUP!P! ISIS CIRCUIT FILE MOBJECT DATACCT000 __DEFAULT__bROOT10  RV1U2+#Q1,$R1 12-*C13,4-CCT000 __DEFAULT__ __DEFAULT__ACTVCAP.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\ACTIVE\Capacitor.DSN Doc. no.: Revision: Author: Created: 16/04/99 Modified: 03/05/00 *PROPERTIES,1 PRECHARGE=0 *MODELDEFS,0 *PARTLIST,2 C1,CAPACITOR,,PRECHARGE=,PRIMITIVE=ANALOGUE VP1,RTVPROBE,ACTIVE_VPROBE,MAX=,MIN=-,PRIMITIVE=ANALOG *NETLIST,2 1,3 1,GT C1,PS,1 VP1,PS,+ 2,3 2,GT C1,PS,2 VP1,PS,- *GATES,0 DCVMETER.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\ACTIVE\DCMeters.DSN Doc. no.: Revision: Author: Created: 19/03/03 Modified: 05/12/05 *PROPERTIES,0 *MAPPINGS,3,STATE 2 : SCALE=1 3 : SCALE=1000 4 : SCALE=1000000 *MODELDEFS,0 *PARTLIST,1 VP1,RTVPROBE,RTVPROBE,LOAD=,PRIMITIVE=ANALOG,SCALE= *NETLIST,2 +,2 +,GT VP1,PS,+ -,2 -,GT VP1,PS,- *GATES,0 MOTOR.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\ACTIVE\Motor.DSN Doc. no.: Revision: Author: Created: 20/07/99 Modified: 03/05/00 *PROPERTIES,0 *MODELDEFS,0 *PARTLIST,8 C1,CAPACITOR,1u,PRIMITIVE=ANALOGUE D1,DIODE,DIODE,PRIMITIVE=ANALOGUE D2,DIODE,DIODE,PRIMITIVE=ANALOGUE G1,VCCS,-//500000,PRIMITIVE=ANALOGUE R1,RESISTOR,,PRIMITIVE=PASSIVE S1,VSWITCH,VSWITCH,PRIMITIVE=ANALOGUE,ROFF=1T,RON=1E-3,VH=0.99,VT=0.01 S2,VSWITCH,VSWITCH,PRIMITIVE=ANALOGUE,ROFF=1T,RON=1E-3,VH=0.99,VT=0.01 VP1,RTVPROBE,ACTIVE_VPROBE,MAX=1,MIN=-1,PRIMITIVE=ANALOG *NETLIST,7 #00003,8,IC=0 C1,PS,2 G1,PS,+ S1,PS,- S2,PS,+ VP1,PS,+ D2,PS,K S2,PS,P S1,PS,N #00006,2 D1,PS,A D2,PS,A +,3 +,GT R1,PS,2 G1,PS,P -,3 -,GT R1,PS,1 G1,PS,N GND,7 GND,PT C1,PS,1 S1,PS,P S2,PS,N VP1,PS,- D1,PS,K G1,PS,- +1,2 +1,PT S1,PS,+ -1,2 -1,PT S2,PS,- *GATES,0 {OA_3PIN.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: D:\PROLIBS\OPAMP\oa_3pin.DSN Doc. no.: Revision: Author: Created: 05/11/98 Modified: 25/08/05 *PROPERTIES,5 GAIN=1E6 VNEG=-15 VPOS=15 ZI=1E8 ZO=1 *MODELDEFS,0 *PARTLIST,8 D1,DIODE,,N=100m,PRIMITIVE=ANALOG,TEMP=27 D2,DIODE,,N=100m,PRIMITIVE=ANALOG,TEMP=27 R1,RESISTOR,,PRIMITIVE=PASSIVE R2,RESISTOR,,PRIMITIVE=PASSIVE R3,RESISTOR,,PRIMITIVE=PASSIVE V1,VSOURCE,-100m,PRIMITIVE=ANALOG V2,VSOURCE,+100m,PRIMITIVE=ANALOG VCI1,VCISOURCE,/,PRIMITIVE=PASSIVE *NETLIST,6 #00000,2 D1,PS,K V1,PS,+ #00001,2 D2,PS,A V2,PS,+ OP,5 OP,OT VCI1,PS,+ R1,PS,1 D1,PS,A D2,PS,K +IP,4 +IP,IT POS_IP,IT VCI1,PS,P R3,PS,1 -IP,4 -IP,IT NEG_IP,IT VCI1,PS,N R2,PS,1 GND,7 GND,PT V1,PS,- V2,PS,- R3,PS,2 R2,PS,2 VCI1,PS,- R1,PS,2 *GATES,0 3POT_LIN.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\ACTIVE\Pots.DSN Doc. no.: Revision: Author: Created: 14/04/99 Modified: 03/05/00 *PROPERTIES,0 *MODELDEFS,0 *PARTLIST,2 R1,RTSWITCH,,PRIMITIVE=ANALOG,R(0)=1m*,R(1)=0.1*,R(2)=0.2*,R(3)=0.3*,R(4)=0.4*,R(5)=0.5*,R(6)=0.6*,R(7)=0.7*,R(8)=0.8*,R(9)=0.9*,R(10)=0.999*,STATE= R2,RTSWITCH,,PRIMITIVE=ANALOG,R(0)=0.999*,R(1)=0.9*,R(2)=0.8*,R(3)=0.7*,R(4)=0.6*,R(5)=0.5*,R(6)=0.4*,R(7)=0.3*,R(8)=0.2*,R(9)=0.1*,R(10)=1m*,STATE= *NETLIST,3 1,2 1,GT R1,PS,1 3,3 3,GT R1,PS,2 R2,PS,1 2,2 2,GT R2,PS,2 *GATES,0 ???@ư>1, ABSTOL1e-12 BADMOS3No BYPASSYes CHGTOL1e-14DEFAD0DEFAS0 DEFL0.0001 DEFW0.0001 GMIN1e-12GMINSTEPS120 ITL1100ITL250ITL410 LOGSTART0 LOGTIME60 MAXORD2 METHODGEAR NOOPITERNo NUMSTEPS50 OLDLIMITNo PIVREL0.001 PIVTOL1e-13 RELTOL0.001 RSHUNT1e+12 SRCSTEPS120 TDLOWER0.9 TDSCALE1 TDUPPER1.1TEMP27 TMIN1e-18TNOM27TRACE_CONTENTIONS1,0TRACE_CONVERGENCE1,1TRACE_DCPATHS1,2TRACE_ITFMODS1,3TRACE_NETTYPES1,4TRACE_OPTIONS1,5TRACE_PERFORMANCE1,6TRACE_SPICELINK1,7TRANGMIN1e-09TRTOL7TRYTOCOMPACTNo TTOL1e-09 VNTOL1e-06@v6>pYx`94Sa&00o